fpga技术教程教本(通俗易懂).ppt

  1. 1、本文档共167页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
fpga技术教程教本(通俗易懂)

设计实例2 设计一个模数为6的同步二进制计数器:(1)设置异步清零控制端,低电平复位。 (2)输入数据保持(3)输出进位提示。 (4)采用Verilog语言的文本输入方式。(5) 进行功能仿真与验证。 *说明:本例采用行为描述方式。第二种方法先定义一个类似产品74LVC161器件(模8),再反馈置数去掉无用状态。两种描述均能完成设计要求。该程序放在Quartus II 的安装目录“C:\altera\80\quartus”的counter6文件夹下。 设计要求 状态图 设计实例2 //behavior description of a module_6 counter module counter6 (CLK,CE,CR,Q,TC); input CLK,CE,CR; //定义输入信号 output TC; //定义输出信号 output[2:0] Q; //定义输出信号 reg[2:0] Q; //定义信号类型 assign TC=CE(Q==3‘b101); //进位信号 指示,输出5时进位 always @(posedge CLK or negedge CR) begin if (CR==1‘b0) //复位 Q=3b0; else if (Q==3‘b101)//0~5循环计数 Q=3b0; else if( CE==1‘b0) //输出保持 续右 Q=Q; else//计数 Q=Q+3b1; end endmodule 顶层实体名称 设计实例2 *说明:步骤基本与实例1相同 一、建立工程环境 执行菜单命令“ File New Project Wizard”,打开Introduction对话框。单击“Next”。输入工作目录文件夹名、工程名、顶层文件名,此处都为counter6即可; 点击“Next” 。 # 设计实例2 在安装目录counter6文件夹下选择counter6.v文件,单击“Add”按钮添加文件; 其他步骤默认,直接点“Finish”完成工程环境建立。 # # 设计实例2 二、分析与综合 单击工具条上的Start Analysis Synthesis 快捷按钮。编译成功后弹出提示对话框。Message窗口提示“0 error,0warning”;如有错误,在Message栏选择错误条目,右键Help,查找原因。 三、功能仿真与验证 1. 建立一个仿真文件(.vwf) (1)执行FileNew命令 在New对话框中选择Verification/Debugging Files标签,文件类型选择“Vector Waveform File”; 单击OK,则打开一个空的波形编辑器窗口。 (2)设置仿真的结束时间 ,执行“ EditEnd Time” ,默认为1?s即 可。 # # # 设计实例2 (3)设置网格间距,用Edit Grid Size命令,(默认设置10ns即可) (4)保存文件 执行“FileSave As…”命令,仿真文件名为与工程文件名(counter6)同名,后缀为.vwf,并选中“Add file to current project”复选框,将其加入到本工程中。 (5)在波形文件中添加节点 在波形编辑器左边Name列双击左键,则弹出对话框Insert Node or Bus,在其中选择“Node Finder…”按钮。 在Node Finder界面中,在Filter列表中选择Pins: all,在Named栏中键入“*”,然后单击List按钮,则在“Nodes Found”中会出现所有节点名。 在Node Found栏中选择全部节点,单击右箭头,将所选择节点送入“Selected Nodes”栏中。 单击“OK”,则所选的信号和组出现在波形编辑器中。 # # # 设计实例2 (6)在波形编辑器中用图形编辑按钮给输入信号添加适当的激励。 # 具体操作见例1 CE设置高-低(高计数,低保持) CLK信号设置周期10ns CR设置低-高 波形编辑器 设计实例2 七、 进行仿真 1. 指定仿真器设置 (1)执行“Assignments Settings…”命令,打开Settings对话框。 (2)在Category列表中选择Simulator Settings ,则显示Simulator页面。 (3)在Simulation栏中选择Functional进行功能仿真选择。 (4)指定仿真文件,这里为counter6.vwf。 (5)执行“Processing Generate

文档评论(0)

1honey + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档