第2讲2-2原理图输入方法仿真分析ling07.ppt

第2讲2-2原理图输入方法仿真分析ling07.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术与VHDL 第6章 图形设计方法 6.1 设计初步 6.1 设计初步 6.1 设计初步 6.1 设计初步 6.1 设计初步 开始编辑波形 * HQUEDA中心 * EDA技术 1. 为本项工程设计建立文件夹 首先建立工作库目录,以便存储工程项目设计文件。 任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有设计文件的文件夹。此文件夹将被EDA软件默认为工作库(Work Library)。一般,不同的设计项目最好放在不同的文件夹中,而同一工程的所有文件都必须放在同一文件夹中。 注意不要将文件夹设在计算机已有的安装目录中,更不要将工程文件直接放在安装目录中。(P107) 假设本项设计的文件夹取名为adder,路径为:d:\adder 2. 输入设计项目和存盘 (1) 打开QuartusII,选菜单“File”→“New”,在弹出的“New”对话框中选择“DeviceDesign Files”页的原理图文件编辑输入项“Block Diagram/Schematic File”(如图 所示), 按“OK”后将打开原理图编辑窗。 图6-1 元件输入对话框 (2) 在编辑窗中的任何一个位置上右击鼠标,将出现快捷菜单,选择其中的输入元件项Insert Symbol,于是将弹出如图 所示的输入元件的对话框。,选中需要的元件,单击“打开”按钮,此元件即显示在窗口中,然后单击“Symbol”窗的OK 按钮,即可将元件调入原理图编辑窗中。 分别调入元件and2、not、xnor 和输入输出引脚input 和output ,并 用点击拖动的方法连接好电路。然后分别在input 和output 的PIN NAME 上双击使其变黑色,再用键盘分别输入各引脚名:a、b、co 和so。 选择菜单File→Save As,选择刚才为自己的工程建立的目录d:\adder,将已设计好的原理图文件取名为:h_adder.bdf(注意默认的后缀是.bdf),并存盘在此文件夹内。 3. 将设计项目设置成可调用的元件(后面调用) 图6-2 将所需元件全部调入原理图编辑窗并连接好 4. 设计全加器顶层文件 图6-3 连接好的全加器原理图f_adder.bdf 具体步骤 为了建立全加器的顶层文件,必须再打开一个原理图编辑窗,方法同前,即再次选择菜单“File”→“New”原理图文件编辑输入项“Block Diagram/Schematic File” 添加生成的symbol 在对应的目录中寻找到 设计完成全加器顶层文件 5. 将设计项目设置成工程 图6-4 f_adder.bdf工程设置窗 生成project 工程完成后即可进行全程编译。 开始仿真,首先建立波形文件 波形编辑器 设置仿真时间长度 设置仿真时间长度 保存文件 插入节点 查找节点 列出节点 选中节点 * HQUEDA中心 * * * *

文档评论(0)

liyu0423 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档