名师第07讲VHDL的设计实例及分析.ppt

  1. 1、本文档共67页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第7讲ⅴHDL设计实例及分析 0 0x7.1组合逻辑单元的ⅤHDL描述 7.11基本逻辑门的ⅴHDI描述 7.1.2编码器、译码器和多路选通器的ⅴDL描述 713加法器和求补器的ⅴHDⅠ描述 71.4三态门及总线缓冲器 0 72时序电路的ⅤHDL描述 721时钟信号和复位信号 0 722触发器 723寄存器 724计数器 0§7.1组合逻辑单元的ⅤHDL描述 0 组合逻辑电路有基本逻辑门电路、编码器、译码器、多 路选通器、三态门等 §7.11基本逻辑门的ⅤHDL描述 0 逻辑门电路是构成所有逻辑电路的基本电路,本节将通 过二输入“与非”门、二输入“或非”门、反相器和二输入 异或”门等简单门电路的ⅤHDL描述实例来介绍逻辑门电路 的VHDL描述方法。 1.二输入“与非”门电路 0二输入“与非”门电路是逻辑门电路中最简单的,其逻辑 电路图如图7.1所示。 NAND2 0 图7.1二输入“与非”门电路的逻辑电路图 0 利用VHD描述二输入“与非”门有多种形式,如例71所示。 2 use ieee. std logic 1164. all s entity sc1 is y: out stc1。g1c 7 architecture behavi of sc1 is 8 begin a nand b: 11 architecture behav Of sc1 1s DEce吕〔a,b 飞 ar iar1e Itb: std logic vector(1 downto o □ comb: =a&h when"01"=>y<=!1 21 oth =>W< end case end process 26 end behav之 02.二输入“或非”门电路 二输入“或非”门电路的逻辑电路图如图72所示 NOR 0 图7.2二输入“或非”门电路的逻辑电路图 0 例7.2所示为用VHDL描述的二输入“或非”门电路的程序。

文档评论(0)

134****9146 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档