完整word版流水灯实验报告.doc

  1. 1、本文档共7页,其中可免费阅读3页,需付费100金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
流水灯实验报告一实验目的熟练该软件的操作的同时学会用语言来编程流水灯的制作二实验步骤步骤一初步设定位流水灯的流程图二打开软件建立一个新工程命名为在文件处新建一个编写程序三打开仿真工具设置仿真模式为用自动的模式然后执行仿真四进行编译运行结果分析结果原程序实验过程打开软件建立一个新工程命名为在文件处新建一个编写程序如下图编写好程序就进行编译编译成功后新建一个波形文件设置好初值如下图图再打开仿真工具设置仿真模式为执行仿真如下图仿真结果如下图总结由图显示该结果就是十六位的流水灯该流水灯就是以一个方向进行

FPGA流水灯实验报告 一、 实验目的 熟练该软件的操作的同时,学会用VHDL语言来编程流水灯的制作 二、 实验步骤 步骤 一) 初步设定16位流水灯的流程图 二) 打开quartus软件,建立一个新工程,命名为liushuideng,在文件处新建一个VHDL File,编写程序。 三) 打开仿真工具,设置仿真模式为Funnctional,用自动的模式,然后执行仿真。 四) 进行编译运行结果,分析结果 1、VHDL原程序: library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_a

文档评论(0)

zhaoxiaoj + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档