- 1、本文档共7页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA 作业 序号:3
北京信息科技大学,研1505班,刘鹏成
内容:VHDL仿真实现串口接收。
接收文件
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity uart_receiver is
generic(integer_bit :integer:=8);
Port (clock_8 : in std_logic;
data_uart_litera : in std_logic;
reset : in std_logic);
end uart_receiver;
architecture Behavioral of uart_receiver is
signal idle : std_logic :=0;
signal state : std_logic_vector (3 downto 0):=0000;
signal reg_before : std_logic :=0;
signal reg_rfall : std_logic :=0;
signal count : std_logic_vector (7 downto 0):=(others=0);
signal counter_en : std_logic :=0;
signal receiver : std_logic :=0;
signal dout : std_logic_vector(7 downto 0):=(others=0);
signal dout_8_valid : std_logic_vector(7 downto 0):=(others=0);
signal check_bit : std_logic:=0;
signal counter_half : std_logic_vector(15 downto 0) :=(others =0);
signal clock_half : std_logic :=0;
signal conv_bit: std_logic_vector(15 downto 0) :=(others =0);
signal sign_bit :std_logic:=0;
signal sample_bit:std_logic:=0;
component counter is port(num : buffer std_logic_vector (integer_bit-1 downto 0);
counter_en : in std_logic;
clk_8 : in std_logic);
end component;
begin
counter_1 : counter port map(num = count,
counter_en = counter_en,
clk_8 = clock_8);
conv_bit =conv_std_logic_vector(integer_bit,16);
counter_half =0 conv_bit(15 downto 1);
process(clock_8)
begin
if(reset=1) then
--高电平复位,异步复位
receiver =0;
reg_rfall=0;
reg_before=0;
elsif(rising_edge(clock_8)) then
reg_rfall = (reg_before)and(not data_uart_litera);
reg_before = data_uart_l
您可能关注的文档
- VDA6.3 training 过程审核培训.pdf
- VDA6.3(2016)_过程审核提问表.pdf
- vector nti 11 使用教程 第六章_限制切位分析.pdf
- verbnet研箸小组研究报告.doc
- Verilog HDL 有哪些主要特点.doc
- Verilog HDL的分频器设计.pdf
- verilog HDL语言设计的24小时时钟.doc
- verilog_实现DES密码算法.doc
- verilogHDL十字路口交通灯.ppt
- Verilog程序设计-八位循环移位寄存器.doc
- 2024年江西省寻乌县九上数学开学复习检测模拟试题【含答案】.doc
- 2024年江西省省宜春市袁州区数学九上开学学业水平测试模拟试题【含答案】.doc
- 《GB/T 44275.2-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第2部分:术语》.pdf
- 中国国家标准 GB/T 44275.2-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第2部分:术语.pdf
- GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构.pdf
- 《GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构》.pdf
- 中国国家标准 GB/T 44285.1-2024卡及身份识别安全设备 通过移动设备进行身份管理的构件 第1部分:移动电子身份系统的通用系统架构.pdf
- GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南.pdf
- 中国国家标准 GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南.pdf
- 《GB/T 44275.11-2024工业自动化系统与集成 开放技术字典及其在主数据中的应用 第11部分:术语制定指南》.pdf
文档评论(0)