VHDL语言各种分频器的设计解析.pptVIP

  1. 1、本文档共29页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
专题二. 经典模块设计;专题内容:;1. 分频器的原理;2. 二进制分频器的设计;二进制分频器的VHDL源程序;Architecture a of fdiv is signal cnt: std_logic_vector(N-1 downto 0); Begin process(clkin) begin if(clkinevent and clkin=1) then cnt = cnt+1; end if; end process; clkout = cnt(N-1); End a;;仿真结果;3. 偶数分频器的设计;偶数分频器的VHDL源程序(1);Architecture a of fdiv is signal cnt: integer range 0 to n-1; Begin process(clkin) --计数 begin if(clkinevent and clkin=1) then if(cntn-1) then cnt = cnt+1; else cnt = 0; end if; end if; end process; process(cnt) --根据计数值,控制输出时钟脉冲的高、低电平 begin if(cntn/2) then clkout = 1; else clkout = 0; end if; end process; End a;;偶数分频器的VHDL源程序(2);Architecture a of fdiv is signal cnt: integer range 0 to n/2-1; signal temp: std_logic; Begin process(clkin) begin if(clkinevent and clkin=1) then if(cnt=n/2-1) then cnt = 0; temp = NOT temp; else cnt = cnt+1; end if; end if; end process; clkout = temp; End a;;仿真结果;4. 奇数分频器的设计;奇数分频器的VHDL源程序;architecture a of fdiv is signal cnt1, cnt2: integer range 0 to N-1; begin process(clkin) begin if(clkin‘event and clkin=’1‘) then --上升沿计数 if(cnt1N-1) then cnt1 = cnt1+1; else cnt1 = 0; end if; end if; end process;; process(clkin) begin if(clkin‘event and clkin=’0‘) then --下降沿计数 if(cnt2N-1) then cnt2 = cnt2+1; else cnt2 = 0; end if; end if; end process; clkout = 1 when cnt1(N-1)/2 or cnt2(N-1)/2 else 0; end a;;仿真结果;5. 占空比可调的分频器的设计;占空比可调的分频器VHDL源程序;architecture a of fdiv is signal cnt: integer range 0 to n-1; begin process(clkin) begin if(clkinevent and clkin=1) then if(cntn-1) then cnt = cnt+1; else cnt = 0; end if;

您可能关注的文档

文档评论(0)

我思故我在 + 关注
实名认证
文档贡献者

部分用户下载打不开,可能是因为word版本过低,用wps打开,然后另存为一个新的,就可以用word打开了

1亿VIP精品文档

相关文档