带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计.doc

带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计.doc

  1. 1、本文档共2页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子设计自动化实验报告(二) 学院:电气学院 班级:电子122班 姓名:覃思远 一、实验题目:带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计。 二、实验目的:讨论学习经典数字计数器的Verilog描述方法和相关语法。 三、实验程序:module CNT10(CLK,RST,EN,LOAD,COUT,DOUT,DATA); input CLK,EN,RST,LOAD; input [3:0] DATA; output [3:0] DOUT; output COUT; reg [3:0] Q1; reg COUT; assign DOUT = Q1; always @(posedge CLK or negedge RST) begin if(!RST) Q1 = 0; else if(EN) begin if (!LOAD) Q1= DATA; else if (Q19) Q1=Q1+1; else Q1 = 4b0000;end end always @(Q1)begin if (Q1==4h9) COUT = 1b1; else COUT = 1b0;end endmodule 实验仿真结果: 仿真结果分析: RST在任意时刻有效时,如CLK非上升沿时,计数也能清0。 计数器正常计数到RST=0时,4位输入数据DATA被清零,之后在LOAD=1后计数器重新计数,如图所示计数从0000加载到0100的时序。计数到9时,COUT输出进位1。 当EN=1,LOAD=1,RST=1时,计数正常进行,在计数数据等于9时进位输出高电平。 六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把程序中的输入输出端和板子的相应引脚给锁定,编译并下载程序,定好DATA,选择合适的CLK,手动改变EN和LOAD的值,观察实验现象看是否符合程序的结果。 七、总结:通过对带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计,我对verilog描述方法和相关语法有了一个较为深入的认识。这将让我对之后课程的学习和深入探讨提供一个基础,能更好地学习EDA这门课程。

文档评论(0)

anma + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档