数字系统设计与VHDL课程大作业.pdf

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字系统设计与VHDL 课程大作业 霓虹灯电路设计 学院: 计算机科学与工程 班级:计算机科学与技术一班 学号:1305010126 姓名:唐艳香 组员:郑林升,袁博,唐艳香 实验内容: 1) 使用平台上的8 个七段数码管进行显示,即围绕平台上的8 个数码管转圈; 2) 要求同时显示的段数为1、2 、3 段可选; 3) 可进行顺向、逆向显示(通过某一开关键进行选择); 4) 按下复位键后,重新开始旋转; 5) 多种点亮方式自选,如全亮等。 总体模块划分: 1)定义输入输出端; 2 )由于只有一个主程序,所有模块都直接添加在里面。主程序中可看做复位,旋转 方向,旋转速度,旋转块数的小程序结合; 3 )建立波形图,进行仿真; 4 )绑定引脚,下载程序进行测试; 5 )修正小bug 。 代码实现: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; 1 entity water_lamp is generic(n:integer:=60000); port(clk_sys:in std_logic; order:in std_logic; k:in std_logic; q:in std_logic; num:in std_logic_vector(2 downto 0); L:out std_logic_vector(7 downto 0); LED:out std_logic_vector(7 downto 0)); end water_lamp; architecture one of water_lamp is signal cnt:integer range 0 to n; signal cnt1:integer range 0 to n; signal cnt2:integer range 0 to n; signal clk_new:std_logic; signal cnm:integer range 19 downto 0; signal cnm0:integer range 19 downto 0; signal clk_new1:std_logic; signal clk_new2:std_logic_vector(0 to 1):=00; begin p1:process(clk_sys) begin if clk_sysevent and clk_sys=1 then if cntinteger(n/2)-1 then cnt=cnt+1; else cnt=0; clk_new=not clk_new; end if; end if; end process p1; p2:process(clk_new) variable LED_tmp:std_logic_vector(7 downto 0); begin if clk_newevent and clk_new=1 then 2 if order=0then if cnm0 then cnm=cnm-1; else cnm=19; end if; else if cnm19 then cnm=cnm+1;

文档评论(0)

tiger02 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档