FPGA中同步异步时钟域信号的处理.docxVIP

FPGA中同步异步时钟域信号的处理.docx

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PAGE PAGE 1 FPGA中同步异步时钟域信号的处理 (TI)MESPEC TS_REF_CLK27M = PERIOD REF_CLK27M_grp : 37ns HIGH 50 %; 这样的话,(工具)在(布线)的时候,就会知道这个时钟所驱动的全部网络必需满意至少27M速度的要求,占空比为50%。它会任意布线,就有可能消失信号翻转的很慢,或者延时很长,建立时间保持时间不足,在实际中造成ti(mi)ng错误。一般来说,十几兆以上的时钟网络最好都加类似的约束,在时钟上就可以了,工具会帮你把它所驱动的全部网络都加上约束的。 另外,常用的约束还有delay,skew等,详细的你可以到Xilinx网站上(下载)特地有关Const(ai)ns的文档学习一下。 我们将问题分解为2部分,来自同步时钟域信号的处理和来自异步时钟域信号的处理。前者要简洁很多,所以先争论前者,再争论后者。 1.同步时钟域信号的处理 一般来说,在全同步设计中,假如信号来自同一时钟域,各模块的输入不需要寄存。只要满意建立时间,保持时间的约束,可以保证在时钟上升沿到来时,输入信号已经稳定,可以采样得到正确的值。但是假如模块需要使用输入信号的跳变沿(比如帧同步信号),千万不要直接这样哦。 always @ (posedge inputs) begin ... end 由于这个时钟inputs很有问题。假如begin ... end语句段涉及到多个D(触发器),你无法保证这些触发器时钟输入的跳变沿到达的时刻处于同一时刻(精确?????的说是相差在一个很小的可接受的范围)。因此,假如写出这样的语句,(EDA)工具多半会报clock skew 》 data delay,造成建立/保持时间的冲突。本人曾经也写出过这样的语句,当时是为了做分频,受大二学的数字电路的影响,直接拿计数器的输出做了后面模块的时钟。当时用的开发工具是max+plusII,编译也通过了,烧到板子上跑倒也能跑起来(估量是由于时钟频率较低,6M),但后来拿到(Quartus)II中编译就报clock skew 》 data delay。大家可能会说分频电路很常见的啊,分频输出该怎么用呢。我始终用的方法是采纳边沿检测电路,用HDL语言描述也许是这样: always @ (posedge Clk) begin inputs_reg 《= inputs; (if) (inputs_reg == 1‘b0 inputs_reg2 《= inputs_reg1; inputs_reg3 《= inputs_reg2; if (inputs_reg2 == 1‘b1

文档评论(0)

play + 关注
实名认证
文档贡献者

分享有帮助的文档

1亿VIP精品文档

相关文档