systemverilog硬件设计及建模第123章最新完整版本.pptVIP

systemverilog硬件设计及建模第123章最新完整版本.ppt

  1. 1、本文档共40页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

*timeunitandtimeprecision除可以用Verilog中的时间单位外,还可以用一个特殊的单位:step**电子设计自动化基础大纲基于SystemVerilog的硬件设计基于SystemVerilog的验证逻辑综合专题时序分析专题自动物理设计专题……08硕微电子公共邮箱:SYSTEMVERILOGHARDWAREDESIGNANDMODLEINGWangJinxiangMicroelectronicsCenterofHIT参考书:SauartSutherland,SimonDavidmann.SystemVerilog硬件设计及建模.科学出版社,2007年IEEEStd1800-2005,SystemVerilogLanguageReferenceManualLRM主要内容:简介声明的位置文本值和数据类型用户自定义和枚举数据类型数组、结构体和联合体过程块、任务和函数过程语句层次化设计接口设计实例:状态机、ATM开关行为级和交易级建模为什么要学习SystemVerilog?SystemVerilog起源SystemVerilog针对硬件设计关键增强第1章SystemVerilog简介1.1为什么要学?Verilog,VHDL,SystemC……SystemVerilog优点EDA公司的支持!!!1.2SystemVerilog起源IEEE1364-2005Verilog标准的扩展整合了SUPERLOG、VERA、C、C++及VHDL特性,同时包括了OVA和PSL断言SystemVerilog是设计与验证的统一的语言SystemVerilog与Verilog向下兼容SystemVerilog是由Accellera标准机构制定1.2.1SystemVerilog标准历程2002年6月:SystemVerilog3.0(综合)2003年5月:SystemVerilog3.1(验证)2004年5月:SystemVerilog3.1a2004年6月:Accellera捐赠给IEEE2005年11月:IEEE1800—2005IEEE1364—2005(Verilog)1.2.2SystemVerilog获得的捐赠SUPERLOG扩展可综合子集—CoDesignAutomationOpenVERA验证语言—SynopsysPSL断言—IBMOpenVERA断言—SynopsysDirectC和适用的应用程序设计接口—Synopsys单独编辑和$readmem扩展—MentorGraphics标签联合体和高层次语言特征—BlueSpec1.3SystemVerilog关键增强设计内部的封装通信和协议检查的接口类似C语言中的数据类型,如int用户自定义类型(typedef)枚举类型、结构体和联合体类型转换package外部编译单元区域++、--、+=等赋值操作显式过程块priority和unique修饰符通过引用传送到任务、函数和模块package定义及从package中导入定义$unit编译声明空间未命名块中的声明增强的时间单位定义第2章SystemVerilog声明的位置logic、enum、typedef、struct2.1package在Verilog中,变量、线网、任务和函数的声明必须在module和endmodule关键字之间,如果一个任务在多个模块中被引用,如何处理?Verilog采用include编译指令和其它编码技巧来解决问题,但同时引入了其它的问题,如设计维护等。SystemVerilog借鉴了VHDL中package的概念,很好地解决了这个问题!2.1.1package内容package中的内容在package和endpackage之间定义,可以包含的可综合结构有:parameter和localparam常量定义const变量定义typedef用户自定义类型全自动task和function定义import语句操作符重载定义2.1.1package内容packagedefinitions;parameterVERSION=“1.1”;typedefenum{ADD,SUB,MUL}opcodes_t;typedefstruct{

文档评论(0)

bookuser001 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档