VHDL字符及数据类型.ppt

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

第四章VHDL字符及数据类型1

4.1VHDL文字4.1.1数字整数:整数都是十进制的数,如:5,678,0,156E2(=15600),45_23.14_287(=4523.14287)实数文:实数也都是十进制的数,但必须带有小数点,如:,88_670_551.453.1_909(453.1909),1.0,44.99E-2(=0.4499)以数制基数表示的文字:用这种方式表示的数由五个局部组成。SIGNALd1,d2,d3,d4,d5,:INTEGERRANGE0TO255;d1=110#170#;--(十进制表示,等于170)d2=16#FE#;--(十六进制表示,等于254)d3.1=2#1111_1110#;--(二进制表示,等于254)d4=8#376#;--(八进制表示,等于254)d5=16#E#E1;--(十六进制表示,等于2#1110000#,等于224)物理量文字(VHDL综合器不接受此类文字)。如:60s(60秒),100m(100米),k(千欧姆),177A(177安培)

4.1.2字符串〔1〕文字字符串〔2〕数位字符串ERROR,BothSandQequalto1,X,BB$CCB:二进制基数符号,表示二进制位0或1,在字符串中的每位表示一个Bit。O:八进制基数符号,在字符串中的每一个数代表一个八进制数,即代表一个3.1位(BIT)的二进制数。X:十六进制基数符号(0~F),代表一个十六进制数,即一个4位的二进制数。data1=B1_1101_1110--二进制数数组,位矢数组长度是9data2=O15--八进制数数组,位矢数组长度是6data3.1=XAD0--十六进制数数组,位矢数组长度是12data4=B101_010_101_010--二进制数数组,位矢数组长度是12data5=101_010_101_010--表达错误,缺B。data6=0AD0--表达错误,缺X。

4.1.3标识符下标名格式:标识符(表达式)规那么:l有效的字符:包括26个大小写英文字母,数字包括0~9以及下划线“_”l任何标识符必须以英文字母开头。l必须是单一下划线“_”,且其前后都必须有英文字母或数字。l标识符中的英语字母不分大小写。l允许包含图形符号(如回车符、换行符等),也允许包含空格符。下例的两个下标名中一个是m,属不可计算,另一个是,属可计算的。SIGNALa,b:BIT_VECTOR(0TO3);SIGNALm:INTEGERRANGE0TO3;SIGNALy,z:BIT;y=a(m);--不可计算型下标表示z=b(3);--可计算型下标表示

4.2数据类型标量型(ScalarType)复合类型(CompositeType)存取类型(AccessType)文件类型(FilesType)

4.2.1VHDL的预定义数据类型1.布尔(BOOLEAN)数据类型2.位(BIT)数据类型3.位矢量(BIT_VECTOR)数据类型4.字符(CHARACTER)数据类型5.整数(INTEGER)数据类型6.实数(REAL)数据类型

7.字符串(STRING)数据类型VARIABLEstring_var:STRING(1TO7);string_var:=abcd;8.时间(TIME)数据类型4.2.1VHDL的预定义数据类型

4.2.3其他预定义标准数据类型1.无符号数据类型(UNSIGNEDTYPE)2.有符号数据类型(SIGNEDTYPE)4.2数据类型十进制的8可以作如下表示:UNSIGNED(1000)两那么无符号数据定义的例如:VARIABLEvar:UNSIGNED(0TO10);SIGNALsig:UNSIGNED(5TO0);例如:SIGNED(0101)代表+5,5SIGNED(1011)代表–5

4.2.4数组类型4.2

文档评论(0)

181****7662 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档