14.9 用VHDL语言描述时序逻辑电路.pptx

14.9 用VHDL语言描述时序逻辑电路.pptx

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

14.9.1用VHDL语言描述同步计数器14.9用VHDL语言描述时序逻辑电路*14.9.2用VHDL语言描述异步计数器第14章触发器和时序逻辑电路2010.03

14.9.1用VHDL语言描述同步计数器有一个同步计数器,它的功能表见表14.27,用VHDL语言描述该计数器。表中各符号前面已经说明,但此处的qd、qc、qb、qa是四个触发器的输出端端的编号。表14.27计数器的功能表输入端输出端clrenclkqdqcqbqa1××000000×不变不变不变不变01计数值加1第14章触发器和时序逻辑电路2010.03

LIBRARYIEEE;IEEE库USEIEEE.STD_LOGIC_1164.ALL;使用IEEE中的STD库USEIEEE.STD_LOGIC_UNSIGNED.ALL;使用IEEE中的UNSIGNED库ENTITYcount12enIS计数器count12是一个实体PORT(clk,clr,en:INSTD_LOGIC;输入clk,clr,en是逻辑变量qa,qb,qc,qd:OUTSTD_LOGIC);输出qa,qb,qc,qd是逻辑变量ENDcount12en;描述count12en结束第14章触发器和时序逻辑电路2010.03

ENDcount12en;ARCHITECTURErtlOFcount12enIS构造一个12进制计数器SIGNALcount_4:STD_LOGIC-VECTOR(3DOWNTO0)四位计数器位数从3到0BEGINqa=count_4(0);计数器中的qa是0位qb=count_4(1);计数器中的qb是1位qc=count_4(2);计数器中的qc是2位qd=count_4(3);计数器中的qd是3位PROCESS(clk,clr)BEGIN流程IF(clr=’1’)THENcount_4=”0000”;如果clr=’1’计数器清零第14章触发器和时序逻辑电路2010.03

count_4=”0000”;计数器清零ELSIF(clk’EVENTANDclk=”1”)THEN时钟有个事件,且clk=1,即上升沿动作IF(en=’1’)THEN如果使能端EN=1IF(count_4=”1011”)THEN且4位计数器的状态是1011Count_4=”0000”;那么计数器返回初态0000ELESCount_4=count_4+”0001”;否则计数器加1ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDrtl;第14章触发器和时序逻辑电路2010.03

14.9.2用VHDL语言描述异步计数器LIBRARYIEEE;IEEE库USEIEEE.STD_LOGIC_1164.ALL;使用IEEE中的STD库ENTITYdffrISdff是一个实体PORT(clk,clr,d:INSTD_LOGIC;输入clk,clr,d是逻辑变量q,qb:OUTSTD_LOGIC);输出q,qb

文档评论(0)

xiadaofeike + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8036067046000055

1亿VIP精品文档

相关文档