流水灯VHDL_原创文档.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

基于VHDL流水灯的设计

电子信息科学与技术刘敏何磊成江波

一、设计内容

流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控

制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用

多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很

普遍的。要求采用可编程逻辑器件实现一个流水灯控制电路,12个LED灯能连续

发出4种不同的流水显示形式。彩灯控制器的第1种花样为彩灯按顺时针方向逐

次点亮;第2种花样为彩灯按逆时针方向逐次点亮,然后全灭全亮;第3种花样

为彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开;第4种花样为彩

灯连续交叉闪烁。多个花样自动变换,循环往复。

二、设计方案

彩灯是由FPGA板上的LED灯代替,有以下4种闪烁效果

1.彩灯按顺时针方向逐次点亮。

2.彩灯按逆时针方向逐次点亮,然后全灭全亮。

3.彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开。

4.彩灯连续交叉闪烁。

本控制电路采用VHDL语言设计。运用自顶而下的设计思想,按功能逐层分割

实现层次化设计。根据多路彩灯控制器的设计原理,分别对应彩灯的四种变化模

式、利用VHDL语言实现该功能.

三、系统框图

脉冲信顺序脉译码逻辑电流水灯闪

四、流水灯设计程序

libraryIEEE;

useled1is

port(

sysclk:instd_logic;

dout:outstd_logic_vector(11downto0)

);

endled1;

architecturejgtofled1is

signalcnt:std_logic_vector(7downto0);

--variablecount:integerRANGE0TO7;

signalcount:std_logic_vector(5DOWNTO0);

begin

process(sysclk)

begin

if(sysclkeventandsysclk=1)then

cnt=cnt+1;

count=count+1;

endif;

endif;

endprocess;

process(count)

begin

casecountis

whenothers=null;

endcase;

endprocess;

endjgt;

五、系统仿真与调试

1.仿真波形图

通过QuartusII软件,我们进行了仿真,其仿真波形如下图:

图一:仿真波形图

由设计要求可知,本设计要求采用可编程逻辑器件实现一个流水灯控制电路,

12个LED灯能连续发出4种不同的流水显示形式。彩灯控制器的第1种花样为彩

灯按顺时针方向逐次点亮;第2种花样为彩灯按逆时针方向逐次点亮,然后全灭

全亮;第3种花样为彩灯两边同时亮1、2、3、4、5、6个逐次向中间移动再散开;

第4种花样为彩灯连续交叉闪烁。多个花样自动变换,循环往复。从仿真的波形

可以看出,实现了相应的功能。

2.电路原理图

在QuartusII软件中利用硬件描述语言描述电路后,用RTLViewers生成的对应

的电路图如下所示:

图二:用RTLViewers生成的电路图

六、设计总结

通过本次设计明白了VHDL语言的实用性,同时对QuartusII有了

您可能关注的文档

文档评论(0)

131****2529 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档