EDA技术及应用习题参考答案.pdfVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

EDA技术及应用习题参考答案--第1页

习题参考答案

第2章

1.可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通

用阵列逻辑GAL

2.EPC型号的存储器

3.(1)编程输入(2)编译若编译不成功,需要回到第一步检查编程输入,

直到编译成功为止(3)仿真仿真的结果直接反映编程的结果,若结果不正

确,也需要返回到第一步,重复前面的过程(4)下载

4.FPGA采用SRAM进行功能配置,可重复编程,但系统掉电后,SRAM中的

数据丢失。因此,需在FPGA外加EPROM,将配置数据写入其中,系统每

次上电自动将数据引入SRAM中。CPLD器件一般采用EEPROM存储技术,

可重复编程,并且系统掉电后,EEPROM中的数据不会丢失,适于数据的保

密。FPGA器件含有丰富的触发器资源,易于实现时序逻辑,如果要求实现

较复杂的组合电路则需要几个CLB结合起来实现。CPLD的与或阵列结构,

使其适于实现大规模的组合功能,但触发器资源相对较少。

5.

CPLDFPGA

内部结构Product-termLook-upTable

程序存储内部EEPROMSRAM,外挂EEPROM

资源类型组合电路资源丰富触发器资源丰富

集成度低高

使用场合完成控制逻辑能完成比较复杂的算法

速度慢快

其他资源-EAB,锁相环

保密性可加密一般不能保密

6.详见图2.6.

7.宏单元、PIA、I/O控制块

8.MAX7000系列一般采用EEPROM存储技术,可重复编程,并且系统掉电后,

EEPROM中的数据不会丢失,适于数据的保密。但是编写次数有限,编程的速

度不快;FLEX10K系列采用SRAM进行功能配置,可重复编程,但系统掉电

后,SRAM中的数据丢失。因此,需在FPGA外加专用配置芯片,将配置数据

写入其中,系统每次上电自动将数据引入专用配置芯片中。

第3章

1.设计输入、项目编译、仿真和定时分析、编程下载

2.(1)原理图输入适合于对系统电路很熟悉的情况或用在对时间特性要求较

高的场合;(2)波形图输入适用于时序逻辑和有重复性的逻辑函数;(3)

文本输入适用于从逻辑门层次的描述到整个系统的描述。;(4)层次化输入

适用于结构较复杂的系统。

3.优点:(1)支持模块化,底层模块可反复被调用,多个底层模块可由不同的

EDA技术及应用习题参考答案--第1页

EDA技术及应用习题参考答案--第2页

设计者同时使用,提高了设计效率;(2)设计方法比较自由;(3)团队之间的

合作方便灵活。适用于结构较复杂的电路

4.详见习题附件YUFEI.WDF

5-11详见习题附件

第4章

1.

VHDL(VHSICHardwareDescriptionLanguage

文档评论(0)

184****1486 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档