EDA设计38译码器_原创精品文档.pdfVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

仿真技术综合设计

关于3-8译码器的EDA设计报告

班级:通信13-3班

姓名:王亚飞

学号:1306030318

指导教师:

成绩:

电子与信息工程学院

信息与通信工程系

摘要

EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软

件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴

技术。而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成

为硬件描述语言的代表。随着社会经济和科技的发展,越来越多的电子产品涌如

我们的日常生活当中,在日常生活中译码器起着不可忽视的作用。本设计就是运

用VHDL语言设计的3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,

D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7

的输出端变为有效(低电平),从而达到译码目的。

关键词EDA;3-8译码器

1

1实验目的

1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。

2、初步掌握VHDL语言的常用语句。

3、掌握VHDL语言的基本语句及文本输入的EDA设计方法。

2实验背景

2.1VHDL的简介

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初

是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种

使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,

于是他在1987年成为AI/IEEE的标准(IEEESTD1076-1987)。1993年更进一步修

订,变得更加完备,成为AI/IEEE的AI/IEEESTD1076-1993标准。目前,大多数

的CAD厂商出品的EDA软件都兼容了这种标准。VHDL的英文全写是:VHSIC

(VeryHigheedIntegratedCircuit)HardwareDescriptiongLanguage.翻译成中文就

是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。

2.2VHDL语言的特点

VHDL是一种用普通文本形式设计数字系统的硬件描述语言,主要用于描述

数字系统的结构、行为、功能和接口,可以在任何文字处理软件环境中编辑。除

了含有许多具有硬件特征的语句外,其形式、描述风格及语法十分类似于计算机

高级语言。VHDL程序将一项工程设计项目(或称设计实体)分成描述外部端口

信号的可视部分和描述端口信号之间逻辑关系的内部不可视部分,这种将设计项

目分成内、外两个部分的概念是硬件描述语言(VHDL)的基本特征。

VHDL的主要特点如下:

1.与其他的硬件描述语言先比,VHDL语言描述能力更强,从而决定了它成

2

为系统设计领域最佳的硬件描述语言。

2.VHDL语言技术完备,具有丰富的仿真语句和库函数,而且还支持同步电

路。异步电路和其他电路的设计

3.VHDL语言方法灵活,对设计的秒东湖具有相对独立性4.VHDL语言支持

广泛。

33-8译码器简介

3.13-8译码器

3线-8线译码器是能实现译码功能的电路,常用的二进制集成译码器,二进

制译码器是将输入的二进制代码转换成相对应的输入信号,它也是全译码器。3-8

译码器属于通用译码器,多用于计算机中的变量译码、地址译码及代码变换等

3.23-8译码器工作原理

对于3线-8线译码器来说,3位二进制共有8种状态,所以对应的输出有8

种状态。例如:对于二进制

文档评论(0)

188****9436 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档