EDA课程设计----八位二进制全加器.pdfVIP

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

.

EDA设计说明书

课程名称:EDA技术实用教程

设计题目:八位二进制全加器

院系:电子信息与电气工程学院

学生姓名:

学号:

专业班级:

指导教师:**

.

.

2011年6月1

.

.

1.设计目的

熟悉利用QuartusⅡ的原理图输入法设计简单的组合电路,掌握层次化设计的方法,

并通过一个八位全加器的设计把握利用EDA软件进行原理图输入方式的电子线路设计

的详细流程。

2.设计原理

2.1一位全加器的原理

一位全加器可以用两个半加器及一个或门连接而成,因此需要首先完成半加器的设

计。在本设计中,将采用原理图输入法来完成设计。

一位全加器的设计步骤:

①为本项工程设计建立文件夹;

②输入设计项目和存盘;

③将设计项目设计成可调用的元件;

④设计全加器顶层文件;

⑤将设计项日设置成工程和时序仿真。

2.2八位全加器的原理

一个八位全加器可以由八个一位全加器构成,加法器之间的进位可以用串行方式实

现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相

接。

.

.

3.设计方案与仿真

3.1一位全加器的设计与仿真

全加器的实现是以半加器的实现为基础的,因此,要设计全加器应首先设计一个一

位的半加器。半加器的实现方案为:

①为此项工程建立文件夹;

②在基本元件库中,选中需要的元件,将元件(包含元件and2、not、xnor和输

入输出引脚input、output)调入原理图编辑窗口中;

③将己设计好的原理图文件存盘;

④将所设计的半加器设置成可调用的元件。

用原理图输入法所设计的半加器原理图如图3-1所示,利用QuartusⅡ软件平台,

根据图3-1所示电路,可生成一个半加器元件符号,如图3-2所示。在半加器的基础上,

为了建立全加器的顶层文件,必须再打开一个原理图编辑窗口,方法同上。其中,所选

择的元件包含半加器、或门和输入输出引脚,由此可得到如图3-3所示的全加器原理图;

进而可生成个全加器元件符号,如图3-4所示。

图3-1半加器原理图图3-2半加器元件符

.

.

图3-3全加器原理图图3-4全加器元件符

按照一位全加器原理图连接电路,通过编译、仿真所得的波形图如图3-5所示:

图3-5一位全加器时序仿真波形

根据图3-5可知,当输入信号ain、bin、cin全是低电平时,输出信号sum和

cout全是低电平;当输入信号ain、bin、cin中有且只有一个为高电平时,输出信号

sum为高电平,输出信号cout为低电平;当输入信号ain

文档评论(0)

133****8840 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档