毕业论文:基于CPLD的数字跑表课程设计1.doc

毕业论文:基于CPLD的数字跑表课程设计1.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE  PAGE 20 湖南工程学院 课 程 设 计 课程名称 嵌入式系统 课题名称 基于CPLD的数字跑表 专 业 电子信息工程 班 级 电子信息0781 学 号 姓 名 指导教师 2010年 12 月 23 日 目 录 第一章 引言 …………………………………………...1 第二章 单元模块设计与仿真 ………………………….2 2.1 顶层文件的编写…………………………………2 2.2 总波形图…………………………………………4 2.3 300000分频模块FENPIN………………………5 2.4 10进制计数模块SHI……………………………...6 2.5 60进制计数模块SECOND………………………….7 2.6 防抖动模块FANG…………………………………..9 2.7 启停模块QT………………………………………..10 2.8 与门模块AND………………………………………12 2.9 非门模块NOT……………………………...……….13 2.10七段译码显示XIANSHI……………………………14 心得体会………………………………………………18 第一章 引言 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 EDA(Electronic Design Automation)电子设计自动化技术作为现代电子技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅限于利用软件的方式,即利用既定描述语言和EDA软件来完成对系统硬件功能的实现。不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,它应该是一综合性学科,它融合多学科于一体,又渗透于各学科之中,它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。CPLD即复杂可编程逻辑器件,早期CPLD是从GAL的结构扩展而来,但针对GAL的缺点进行了改进,因此可用于各种现实生活中的应用,比如说本次课程设计数字跑表。 第二章 单元模块设计与仿真 2.1、顶层文件的编写: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity watch is port(clk1,clr0,clky,q0:in std_logic; q:out std_logic_vector(6 downto 0); dp:out std_logic; d: out std_logic_vector(7 downto 0) ); end watch; architecture behave of watch is component fen port(clk:in std_logic; c:out std_logic); end component; component shi port(clk,clr:in std_logic;

文档评论(0)

14576 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档