毕业论文:基于FPGA的数字电压表设计(终稿).doc

毕业论文:基于FPGA的数字电压表设计(终稿).doc

  1. 1、本文档共44页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
目录 目录 I 摘要 III Abstract IV 第一章 绪论 1 1.1 课题的研究背景 1 1.2 课题任务、目标及方法 1 1.3 论文内容和结构 2 第二章 设计基础知识 3 2.1 TLC549的简介 3 2.1.1 A/D转换器的主要技术指标 3 2.1.2 TLC549工作原理 4 2.2 FPGA知识介绍 6 2.2.1 FPGA的架构原理与特点 6 2.2.2 FPGA设计流程 8 2.2.3 EP1C12Q240C8介绍 9 2.3 VHDL硬件描述语言 9 2.3.1 VHDL语言简介 9 2.3.2 VHDL语言语言的主要优势 10 2.3.3 VHDL语言的设计流程 10 2.4 Quartus II及其他第三方开发工具 11 2.4.1 Quartus II开发平台简介 11 2.4.2 第三方EDA工具 12 第三章 硬件设计 14 3.1 系统整体结构 14 3.2 FPGA部分设计 15 3.3 模数转换模块设计 16 3.4 数码管显示设计 17 第四章 软件设计 19 4.1 数字电压表设计系统框图 19 4.2数字电压表主要功能模块的实现 21 4.2.1 时钟分频(div_f)模块: 21 4.2.2 数据采集控制(cs_control)模块: 21 4.2.3 数据串转并(chuan2bing)模块 23 4.2.4 显示数值计算(data_calculate)模块 23 4.2.5 数码管扫描(led_select)模块 24 4.2.6 译码显示(led_translate)模块 24 第五章 系统仿真及测试 26 5.1 系统仿真及测试过程 26 5.1.1 时钟分频模块仿真 26 5.1.2 数据处理模块仿真 29 5.1.3 数码管译码显示模块仿真 31 5.1.4 顶层文件设计 33 5.1.5 硬件连接及测试 35 第六章 总结与展望 37 6.1 总结 37 6.2 展望 37 致谢 39 参考文献 40 摘要 伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,己经广泛应用于模拟与数子电路系统等许多领域。目前电子技术的发展主要体现在EDA领域,数字系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。电子设计自动化是近几年迅速发展起来的将计算机软件、硬件、微电子技术交叉运用的现代电子设计学科。其中EDA设计语言中的VHDL语言是一种快速的电路设计工具,功能涵盖了电路描述、电路合成、电路仿真等三大电路设计工作。本电压表的电路设计正是用VHDL语言完成的。此次设计主要应用的软件是美国ALTERA公司自行设计的。本次所设计的电压表的测量范围是~5V,精度为0.01V。此电压表的设计特点为:通过软件编程下载到硬件实现,设计周期短,开发效率高。Abstract The Electronic Design Automation(EDA) technology has become an important design method of analog and digital circuit system as the integrated circuits growing. The development of the current electronic technology is incarnated the EDA realm .The design of digital system is becoming faster, bulkier ,smaller and lighter than before. Electronic design automation is in the last few years quickly develop, it makes use of software , hardware ,micro-electronics technology to form a course of electronic design. Among them , the VHDL language of EDA is a kind of tool of fast circuit design , the function covered the circuit describe , the circuit synthesize , the circuit imitate the true etc . The circuit of the design that use VHDL language to complete . The desi

您可能关注的文档

文档评论(0)

14576 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档