毕业设计(论文)基于EDA技术设计交通灯系统.doc

毕业设计(论文)基于EDA技术设计交通灯系统.doc

  1. 1、本文档共53页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
毕业设计(论文)基于EDA技术设计交通灯系统.doc

目 录 摘要 I Abstract II 第1章 前言 1 1.1 研究目的和意义 1 1.2 国内外研究现状 1 1.3 研究内容和方法 1 第2章 EDA技术的介绍 3 2.1 EDA技术 3 2.2 VHDL 4 2.3 MAX+PLUSⅡ 6 2.4 CPLD 7 第3章 交通灯控制系统方案验证 9 3.1 交通系统的发展趋势 9 3.2 设计方案及论证 10 3.3 具体设计以及模块划分 12 3.4 工作原理 13 3.5 交通灯工作示意图 13 第4章 系统设计 15 4.1 顶层电路设计 15 4.2 CLK时钟秒脉冲发生电路 15 4.3 交通灯主控模块 16 4.4 定时单元以及显示控制、译码电路 17 4.5 手动自动控制信号 23 第5章 仿真分析 25 第6章 总结与建议 28 致谢 29 参考文献 30 附 录 31 摘要 城市道路交通拥堵是现代城市迫切需要解决的问题,因而城市道路交通控制技术已成为当前控制领域和交通工程领域的研究热点和难点之一。本文介绍的是基于EDA技术设计交通灯系统的一种方案。它直接采用CPLD芯片开发,采用了层次化的设计方法,给出了各个模块的VHDL程序,利用了Max+PlusⅡ对应用程序进行了仿真,并给出了相应的仿真结果。本交通灯控制器用红、黄、绿灯分别表示停车、等待、行进,用蓝色灯表示左拐,同时用数码管指示当前状态(红、黄、绿灯)剩余时间。 关键字:交通灯控制器,EDA,CPLD,VHDL,Max+PlusⅡ Abstract The congestion of urban traffic has become all urgent social problem,Intelligent control technique for urban roadway traffic is an important topic in control domain and traffic engineering domain. This paper introduces a scheme of the design of traffic signal systems based on EDA technology. It directly uses CPLD chip development, using a hierarchical design method, gives each module VHDL procedures, using the Max+Plus II on application for the simulation, and gives the simulation results. The traffic light controller with red, yellow, Green said parking, waiting, moving, with blue lights that turn left, at the same time, digital tube to indicate the current state ( red, yellow, green) remaining time. Key words: traffic light , EDA,CPLD,VHDL,Max+PlusⅡ 前言 研究目的和意义 以往单一模式的定时控制已不能满足客观需要,所以我们要求寻找一种可以随时针对通道上车辆的密集程度来控制和调节主支干道的通禁时间,从而减少不合理的堵车现象的发生。本次设计要通过一种多时段多方案的信号控制器取代传统的单一方案控制器。 利用EDA技术对传统机电设备的电器控制系统进行重新设计或进行技术改造,不但设计周期短、设计成本低,而且将提高产品或设备的性能,缩小产品体积,提高产品的技术含量,提高产品的附加值[1]。 国内外研究现状 目前设计交通灯的方案有EDA,FPGA,PLC,单片机VHDL语言的交通信号灯系统的EDA实现方法。 在设计中应用课上所学的EDA技术和课下时间自己对EDA中的主要部分的学习,积极总结电子信息类高等学科教科书,分析和研究基础课程。包括EDA的发展,PLD可编程逻辑器件的相关知识,以及基于FPGA的SOPC系统设计的方法,以及世界最大的CPLD公司Altra的开发平台,更有对VHDL硬件描述语言的进一步了解和学习完成设计。 首先通过对系统所实现的功能进行结构分析和大框的规划,画出总体框图,将系统分为六大主要模块。信号发生器模块,黄绿灯主控模块,定时单元,倒计时模块,译码模块,显示模块。不断查阅资料来丰富自己的设计,当然遇见了许多不懂的地方,借鉴了前人的经验和教训。思考了一段时间后最后自己列出了三个可行的方案,

文档评论(0)

精品文库 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档