电信互联网安全处理系统网络协议数据包发生器.pdf

电信互联网安全处理系统网络协议数据包发生器.pdf

  1. 1、本文档共67页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
摘 要 随着互联网的风生水起,人们在享受网络时代带来的便捷和舒适的同时,也 时刻受到网络安全问题的困扰。网络信息安全已经变得日益突出和重要,尤其是 企业网络核心数据的安全。 为了应对越来越多的网络安全问题,保障企业自身数据安全,电信杭州分公 司开始着手研究一套互联网安全处理系统,通过安全系统的处理对互联网中传输 的企业核心数据进行特殊加密,并只能由接收端的安全处理系统进行解密来保障 企业网络数据在互联网中的安全。 网络数据包发生器模块就是这样一个应用于互联网安全系统项目中的测试 子模块,用于产生支持IPsec, SRTP, MACsec 以及IPComp 在内的多种网络协议 的数据包组合,并附带提供网络数据包解析、比较功能。 发生器模块设计采用分层设计理念,将不同的数据包头归类到不同的处理 层:1.数据链路层;2.网络层;3.IPsec 加密层;4.内建网络层;5.传输层;6. 应用层;7.尾巴层。这样的设计类似真实的网络模型,便于理解也便于今后添加 更多的协议。发生器模块是一个智能的设计模块,除了能够定向、随机生成各种 网络类型的数据包,还能根据生成的数据包头组合自动更新包头与包头之间关联 的信息位,比如各个包头中“协议”、“校验”、“包长”等标志位,使生成出来的 数据组合符合网络协议。虽然内部功能复杂,但是经过有计划的封装,发生器模 块最终提供给上层模块使用的函数和接口都非常简单。 在整个安全处理器项目中,网络数据包发生模块是最重要的测试模块之一, 为项目中的仿真测试提供了所有可能的数据包类型组合,保证了对安全处理器的 功能测试的完整覆盖,为安全处理器今后的成功生产、使用打下坚实的基础。同 时,因为发生器产生的网络数据包符合标准的互联网数据格式,所以只要开发工 具一致,发生器模块就可以简单复用到新的需要互联网数据包格式的项目中。 【关键词】数据链路层,网络层,传输层,IPsec,SRTP,MACsec,IPComp 【论文类型】应用基础 Abstract When people enjoy their comfortable life from quick development of Internet, they are troubled by network security problem either. Today, how to protect Internet data becomes more and more important, especially for corporation’s core data. In order to solve such Internet security problem and protect corporation self data, Hangzhou subsidiary company of Chine telecom has setup an Internet security processor project, which could encrypt company’s network data during networking transmission and decrypt these encrypted data at the end of receiver processor. Network packet generator is the one of test sub-module in Internet security processor project, and is used to generate different networking packets include IPsec, SRTP, MACsec and IPComp etc protocols. It also supports Ethernet packet parsing and comparing. Packet generator is designed in layer, which means to put different packet hea

文档评论(0)

文献大师 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档