毕业设计(论文)-八位硬件乘法器的设计.doc

毕业设计(论文)-八位硬件乘法器的设计.doc

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
八位硬件乘法器的设计 ( 陕西理工学院电信工程系通信041,陕西汉中,723003 ) 摘 要:本文通过运用EDA技术,采用VHDL语言和原理图输入法编辑文件,采用移项相加原理对八位乘法器进行了设计,经过编译、化简、分割、综合、优化、布局、布线、仿真及测试,综合后下载到EPM7128SLC84-15测试最终得到所要设计的八位乘法器.它能进行八位数据之间的相乘运算,并且通过数码管把结果显示出来. 关键词:电子设计自动化,硬件描述语言,乘法器 Design of eight hardware multiplication machines He Na (Major of Communication Engineering Class 041,Department of Electronic Information,Shaanxi University of Technology,HanZhong,723003 China) Abstract: This text passes uses the usage EDA technique, the adoption VHDL language and the principle diagram input method edit a document, adopting to move an item to add principle mutually to eight multiplication machines to carry on a design, has been editted and translate,turn Chien,partition,synthesize,excellent turn,set up,cloth line,imitate really and test, After the synthesis downloading to the EPM7128SLC84-15 board for tests,Finally obtained eight multipliers which was requested to design. It can carry on the eight-digit number according to seemultiplications the operation,and demonstrate the result through the digital tube. Key words: EDA, HDL,multiplication machine. 不要删除行尾的分节符,此行不会被打印 目 录 摘 要……………………………………………………………………………I Abstract…………………………………………………………………………II 第1章 绪论……………………………………………………………………2 1.1课题背景……………………………………………………………………2 1.2 Max+PlusII简介…………………………………………………………… 3 1.3设计目的……………………………………………………………………3 1.4本章小结……………………………………………………………………3 第2章 硬件乘法器的设计的方案……………………………………………4 2.1乘法器的算法原理…………………………………………………………4 2.2设计原理……………………………………………………………………5 2.3 本章小结…………………………………………………………………...6 第3章 硬件乘法器的软件实现………………………………………………7 3.1结构图………………………………………………………………………7 3.2乘法器的底层文件设计……………………………………………………7 3.3乘法器的顶层文件设计………………………………………………… .14 3.4 译码显示……………………………………………………………… …16 3.5 本章小结……………………………………………………………… …18 第4章 硬件乘法器的硬件实现……………………………………………..19 结论……………………………………………………………………………21 致谢……………………………………………………………………………22 心得体会………………………………………………………………………23 参考文献………………………………………………………………………24 第1章 绪 论 课题背景 21世纪随着电子信息时代的到来,对计算的要求更高,随着电子技术的发展各种运算模块也有了飞跃性的发展,借助于计算机的原理设

您可能关注的文档

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档