EDA课程设计报告之数字时钟设计(哦~).doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课程设计报告之数字时钟设计(哦~),eda数字时钟课程设计,eda数字时钟设计,eda数字钟课程设计,eda多功能数字时钟,数字时钟课程设计,数字电子时钟课程设计,数字时钟课程设计报告,fpga数字时钟课程设计,eda电子时钟设计

计 算 机 科 学 与 技 术 学 院 EDA课程设计报告 —底层 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY SECOND IS PORT (CLK1,R:IN STD_LOGIC; CO1:OUT STD_LOGIC; S0,S1:OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ; ARCHITECTURE XIA OF SECOND IS BEGIN PROCESS(CLK1,R,SS0,SS1) VARIABLE SSS0,SSS1:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF R=1 THEN SSS0:SSS1: ELSIF CLK1EVENT AND CLK1=1 THEN IF SSS1AND SSS0 THEN CO1=1;SSS0: SSS1: ELSIF SSS0THEN SSS0:SSS1:=SSS1+1; ELSE SSS0:=SSS0+1;CO1=0; END IF; END IF; S0=SSS0; S1=SSS1; END PROCESS; END ; 分—底层 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY MINUTE IS PORT (CLK2,R,S:IN STD_LOGIC; MM0,MM1:IN STD_LOGIC_VECTOR(3 DOWNTO 0); CO2:OUT STD_LOGIC; M0,M1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END ; ARCHITECTURE XIA OF MINUTE IS BEGIN PROCESS(CLK2,R,S,MM0,MM1) VARIABLE MMM0,MMM1:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF R=1 THEN MMM0:=0000;MMM1:=0000; ELSIF S=1 THEN MMM0:=MM0;MMM1:=MM1; ELSIF CLK2EVENT AND CLK2=1 THEN IF MMM1=0101 AND MMM0=1001 THEN CO2=1;MMM0:=0000; MMM1:=0000; ELSIF MMM0=1001 THEN MMM0:=0000;MMM1:=MMM1+1;CO2=0; ELSE MMM0:=MMM0+1;CO2=0; END IF; END IF; M0=MMM0; M1=MMM1; END PROCESS; END ; 时—底层 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.std_logic_unsigned.all; ENTITY HOUR IS PORT (CLK3,R,S:IN STD_LOGIC; HH0:IN STD_LOGIC_VECTOR(3 DOWNTO 0); HH1:IN STD_LOGIC_VECTOR(1 DOWNTO 0); H0:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); H1:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); NUMBER2:OUT STD_LOGIC_V

文档评论(0)

xingkongwd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档