- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子设计自动化 EDA 简易计算器设计
简易计算器设计
——EDA实验报告
实验内容
实验要求:完成个位数的加减乘运算,输入用矩阵键盘,输出用数码管显示,每输入一次数据要显示在数码管上。矩阵键盘共16个按键,用其中10个做个位数的输入,用3个分别做加减乘运算,用其中1个做等于操作,各位数的运算结果最多两位,用动态扫描数码管显示运算结果。
小组成员
实现方法
系统组成及连接原理如图所示,主要由由七个功能模块组成:分频模块(为键盘扫描模块和防抖模块提供时钟)、键盘扫描驱动模块(依次置零)、键盘按键值编码模块、键盘编码值防抖模块、运算模块,数码管显示驱动模块、动态扫描驱动模块。
分频
键值编码
防抖
键盘矩阵
行驱动
时钟
数码管显示
运算
数码管
动态显示
1.分频模块
由于FPGA实验板的原始时钟频率高达33.8688MHz,所以不能直接接入设计模块中使用,就需要用到分频模块。将33.8688MHz分频到4KHz和10Hz来使用,一个用于行驱动扫描时钟,一个用于防抖模块。所以,采用写一个可变分频元件来调用。元件视图:
主要代码如下(完整代码见附录,下同):
architecture RTL of freq_division is
component fredivn is
generic(n:positive);
Port ( clkin:in STD_LOGIC;
clkout:out STD_LOGIC);
end component;
begin
U1:fredivn
generic map(n=3)
port map(clkin=clk,clkout=clkout_kb);
end RTL;
仿真结果如下图:达到预期的目的
2.行驱动模块(依次对行置零):
键盘扫描的原理就是检测行列信号然后判断出具体是按下了哪一个按键。所以,对行依次置零,当置零频率较快时,按下某一个按键后,一定能得到某一列的信号输出为零,如下图:
当行信号为1110时,若按下了0键,就会得到1110的列信号,立马就快可以译码出按键值,若按下4键、8键、C键则都不会有输出。
主要代码如下:
process(clkin)
begin
if clr=1 then count=00;
elsif rising_edge(clkin) then
if count=11 then count=00;
else count=count+1;
end if;
end if;
end process;
process(count)
begin
if count=01 then keydrv=1110;
elsif count=10 then keydrv=1101;
elsif count=11 then keydrv=1011;
elsif count=00 then keydrv=0111;
end if;
end process;
仿真结果如下图:达到预期的目的
3.键值编码模块
依据行驱动模块,当按下某一个按键后,立马可以根据行列和并位信号得到唯一的键盘编码值,用5位矢量来保存结果,当没有按键按下时,编码值一直保持着‘11111’不变,并在后端的模块中不对其做任何处理。
以下列出部分编码表(完整编码表见附录):
十进制数行列HEX七段码HEX0EE11111107E4DE0110011335DD10110115B 主要代码如下:
process(clk)
begin
if clr=0 then
if rising_edge(clk) then
if temp1then
keyvalue1=00000; --0
elsif temp1then
keyvalue1=00001; --1
elsif temp1then
keyvalue1=00010; --2
elsif temp1then
keyvalue1=00011; --3
elsif temp1then
keyvalue1=00100; --4
elsif temp1then
keyvalue1=00101; --5
文档评论(0)