- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL:文字规则和常用描述方法;VHDL的程序结构;
;程序包:
已定义的常数、数据类型、元件调用说明、子程序的一个集合。
目的:方便公共信息、资源的访问和共享。
库:
多个程序包构成库。
常见库:STD、WORK、IEEE、VITAL、自定义库
;库及程序包的使用
库及程序包的说明总是放在实体单元前面,
默认库及程序包可不作说明。用关键字library
说明要使用的库,用关键字 use 说明要使用的库中的程序包。 use 库名.程序包名.项目名
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.conv_integer;
库及程序包的作用范围:仅限于所说明的设计实体。
每一个设计实体都必须有自已完整的库及程序包说明语句。;library ieee;
use ieee.std_logic_1164.all;
entity or2 is
port(a,b:in std_logic;
c:out std_logic);
end or2;
;STD、WORK是默认库
所有VHDL程序都隐含了:
library STD;
use STD.standard.all;
所以程序中可以不特意添加。
例外:textio包(文本操作)
需要用到textio包,须显式引用
library STD;
use STD.textio.all;;
;二、实体(entity):定义系统输入输出端口,无法从实体声明中得知电路的具体构造和实现的功能层次化设计中,实体说明是整个模块或整个系统的输入输出接口;器件级设计中,实体说明是一个芯片的输入输出端口。 ;1、类属说明(参数传递语句)
类属说明:
确定实体或组件中定义的局部常数。模块化设计时多用于不同层次模块之间信息的传递。可从外部赋值,以改变内部电路结构和规模。
类属说明必须放在端口说明之前。 ;类属常用于定义:
实体端口的大小、
设计实体的物理特性、
总线宽度、
元件例化的数量等。
例1:
entity mck is
generic(width: integer:=16);
port(add_bus:out std_logic_vector
(width-1 downto 0));
…;例2:2输入与门的实体描述(P.213)
entity and2 is
generic(risewidth: time:= 1 ns;
fallwidth: time:= 1 ns);
port(a1: in std_logic;
a0: in std_logic;
z0: out std_loigc);
end entity and2;
注:数据类型 time 用于仿真模块的设计,
综合器仅支持数据类型为整数的类属值。;其中,端口模式:
in: 输入型,此端口为只读型。
out: 输出型,只能在实体内部赋值但内部不能读取
inout: 双向端口,既可作为in型也可作为out
buffer:缓冲型,与 out 相似,但内部可读(反馈)
linkage:无指定方向,可与其余方向端口相连;In,out,inout,buffer和linkage:; 指端口上流动的数据的表达格式。为预先定
义好的数据类型。
如:bit、bit_vector、integer、
std_logic、std_logic_vector 等。
例:
entity nand2 is entity m81 is
port ( port(
a,b:in bit; a:in bit_vector(7 downto 0);
z: out bit sel:in bit_vector(2 downto 0);
) ; b:out bit);
end entity nand2; end entity m81;;
;三、结构体(architecture);
结构体
;实体与结构体的关系:;例:结构体中错误的信号声明;
;四、配置;配置的语法形式;VHDL构造体常用描述方法 1;VHDL构造体常用描述方法 1;一位全加器的行为描
您可能关注的文档
- 【测控设计】2015-2016学年高二地理中图版必修3:3.3地理信息系统的应用精讲.pptx
- 【测控设计】2015-2016学年高一数学北师大版必修4:1.5.1正弦函数的图像[来源:学优高考网931716]精讲.ppt
- 3、2树立正确的消费观解答.ppt
- 4.3.5经济重心的南移()课题.ppt
- 3、4__我的水钟解答.ppt
- 【测控设计】2015-2016学年高中历史3.8英国君主立宪制的确立与完善岳麓版选修2精讲.ppt
- 4.3.6_社会生活与文化课题.ppt
- 4.3.节理课题.ppt
- 【测控设计】2015-2016学年高中物理第三章磁场本章整合新人教版选修3-1精讲.ppt
- 4.3_高阶微分方程的降阶和幂级数解法课题.ppt
- 【新步步高】2014-2015学年高一历史人民版必修2同课异构:2.2民国时期民族工业的曲折发展精讲.pptx
- 3.1-体内受精和早期胚胎发育解答.ppt
- 4.把铁路修到拉萨课题.ppt
- 【新步步高】2014-2015学年高一物理人教版必修2:6.3万有引力定律1精讲.pptx
- 4.把铁路修到拉萨用课题.ppt
- 【学案】2016高中政治人教版必修2第一单元公民的政治生活第二课第3框题民主管理:共创幸福生活精讲.ppt
- 【学海风暴】2016九年级英语全册Unit5WhataretheshirtsmadeofSectionB(2a-2c)精讲.ppt
- 4.变压器课题.ppt
- 3.1温度解答.ppt
- 4.冰融化了课题.ppt
文档评论(0)