毕业设计论文—智力竞赛抢答器—电子信息工程—报告.doc

毕业设计论文—智力竞赛抢答器—电子信息工程—报告.doc

  1. 1、本文档共28页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
毕业设计论文—智力竞赛抢答器—电子信息工程—报告.doc

课程设计报告 题 目 智力竞赛抢答器 学 院 电子信息工程学院 专 业 电子信息工程 学生姓名 学 号 年级 级2班 指导教师 职称 副教授 2014年 5 月 20 日 课程设计任务书 课程设计题目 智力竞赛抢答器 组长 学号 班级 级2班 系别 电子信息工程 专业 电子信息工程 组员 课程设计目的 亲自体验一次采用现代电子设计自动化技术,从无到有自主完成一个电子系统设计的全过程,以获得初步的电子系统设计经验。 课程设计所需环境 计算机、设计软件QuartusⅡ、EDA实验箱 课程设计任务要求 1.?设计任务: 设计一个能满足8个组同时参加竞赛的抢答器,其功能为:电路复位后,数码显0,主持人示意抢答开始后,每个组都可以通过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的抢答信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。重新复位后数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下轮抢答。 2. 设计要求: 用VHDL语言描述抢答器逻辑功能,经编译后仿真且波形正确后,下载到实验箱上做真实电路验证。 按学院课程设计规范撰写设计报告:报告中应给出设计方案框图(模块的划分,信息的传递关系)、各模块的VHDL程序、每个模块的仿真波形图,并辅以文字分析说明、下载验证操作过程、设计的心得体会和收获。 课程设计工作进度计划 序号 起止日期 工 作 内 容 分工情况 1 周1 布置讲解课程设计题目、内容和要求 拷贝quartus软件 2 周1---周2 按课程设计题目要求自行设计 图书馆查资料 3 周2---周3 按课程设计题目要求自行设计 子模块编程 4 周3---周4 在实验室上机对所设计的内容做软硬件调试 子模块连接 5 周5 按规范撰写课程设计报告书 实验结果分析,写报告 指导教师签字: 杨显富 2014 年 5 月 20 日 系部审核意见: 教研室主任签字: 2014 年 月 日 智力竞赛抢答器 摘要:本课程设计分为智力竞赛抢答器的分模块设计和智力竞赛抢答器的原理图设计两个层次的设计。在本次设计中,模块设计分为锁存器设计,编码器设计,译码器设计;系统开发平台为QuartusⅡ ;硬件描述语是VHDL。竞赛者可以分为八组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持人将所有按键恢复,重新开始下一轮抢答。 关键词:抢答器;锁存器;编码器;数码显示器 Intellectual Competition Responder Abstract:The curriculum is divided into quiz buzzer module design and schematic design for quiz buzzer designed on two levels. In this design, the module is divided into latch design, encoder design, decoder design, system development platform for Quartus II; is a VHDL hardware description language. Competitors are divided into eight groups, when answering the questions posed by the moderator to each group within the shortest possible time to make decisions, and press the answer key to answer the questions. When the first person after the button is pressed, the group number is displayed on the

文档评论(0)

FDAC + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档