EDA八段动态数码管显示设计实验报告.docx

EDA八段动态数码管显示设计实验报告.docx

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA八段动态数码管显示设计实验报告

第十周动态数码管显示设计报告 姓 名: 学 号: 专 业: 班 级: 指导教师: 2012年 11月 8日目录《EDA技术及应用》课程设计任务书……………………………………3实验目的…………………………………………………………………5设计要求…………………………………………………………………5实验设备…………………………………………………………………5扫描原理…………………………………………………………………5设计任务…………………………………………………………………6实验程序…………………………………………………………………6时序仿真波形图…………………………………………………………7仿真结果…………………………………………………………………8模拟电路…………………………………………………………………8设计总结…………………………………………………………………8课程设计评分表…………………………………………………………9《EDA技术及应用》课程设计任务书一、设计题目动态数码管显示设计二、设计主要内容本课题要求掌握使用Quartus II设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。掌握VHDL语言的语法规范,掌握时序电路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学号。利用实验室设备完成系统设计并进行运行调试。1、具体设计内容如下:(1)静止显示学号;(2)动态循环显示学号。2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计与调试过程、模拟仿真结果和设计结论。三、原始资料1、LED显示模块原理LED有段码和位码之分,所谓段码就是让LED显示出“8.”的八位数据,一般情况下要通过一个译码电路,将输入的4位2进制数转换为与LED显示对应的8位段码。位码也就是LED的显示使能端,对于共阳级的LED而言,高电平使能。要让8个LED同时工作,显示数据,就是要不停的循环扫描每一个LED,并在使能每一个LED的同时,输入所需显示的数据对应的8位段码。虽然8个LED是依次显示,但是受视觉分辨率的影响,看到的现象是8个LED同时工作。多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。2、系统结构图信号名与芯片引脚对照表硬件资源元件引脚EP3C引脚序号电路使用说明LED数码显示A133该部分电路为固定电路。使用LED数码显示时请按照器件引脚分配表进行引脚分配后再下载到芯片中。B135C136D137E138F141G142Dp12874LS138S1125S2126S31273、课程设计使用设备(1)EDA及SOPC综合实验平台;(2)导线若干;(3)PC机;(4)Quartus II开发工具软件。四、要求的设计成果(1)根据控制要求设计硬件电路原理图。(2)编写用于系统仿真的VHDL源程序。(3)系统仿真及验证结果。(4)编写《EDA技术及应用课程设计》报告,课程设计报告内容包括:① 设计方案、课程设计过程和设计思想、方法、原理。② 画出系统的原理图。③ VHDL语言程序及仿真波形。 ④ 参考资料、参考书及参考手册。⑤ 其他需要说明的问题,例如操作说明、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。⑥ 《可编程控制器技术课程设计》报告可以手写,也可以用电脑编排打印,报告格式按照《华中科技大学武昌分校课程设计管理办法》执行。课程设计报告要求内容正确完整,图表清晰,叙述简明,语句通顺,字数不得少于2000汉字。⑦ 课程设计报告按封面、任务书、设计说明书、图纸、实物照片贴页(实物照片贴在A4复印纸上)、成绩评定表的顺序装订。一、实验目的 1. 了解实验箱中8 位七段数码管显示模块的工作原理。2. 熟悉VHDL 硬件描述语言及设计专用数字集成电路的自顶向下的设计思想。3. 掌握利用CPLD/FPGA 设计8 位七段数码管扫描显示驱动电路的方法。二、设计要求(1)分析设计要求,明确性能指标。必须仔细分析课题要求,性能,指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 (2)确定合理的总体方案,对各种方案进行比较,以电路的先进性,结构的繁简,成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 (3)设计各单元电路。总体方案化整为零,分解成若干自行同或单元电路,逐个设计。 (4)组成系统。在一定幅面的图纸上合理布局,通常是按照信号的流向,采用左进右出的规律摆放各电路,并标出表的说明。 技术指标: (1)发光强度比 由于数码管各段在同样的驱动电压

文档评论(0)

didala + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档