数电交通灯课程设计doc.doc

  1. 1、本文档共38页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
 数电交通灯课程设计doc

课 程 设 计 说 明 书 课程设计名称: 题 目: 学 生 姓 名: 专 业: 学 号: 指 导 教师: 日期:年 月 日 目录 1 设计目的………………………………………………………4 2 设计思路………………………………………………………4 3 设计过程………………………………………………………4 3.1方案论证……………………………………………………4 3.2电路设计……………………………………………………6 3.2.1秒脉冲发生器………………………………………………………6 3.2.2定时器………………………………………………7 3.2.3控制器………………………………………………9 3.2.4译码电路……………………………………………10 3.2.5显示部分……………………………………………11 3.2.6总原理图……………………………………………12 4系统调试与结果………………………………………………12 5主要元件………………………………………………………12 6 结论……………………………………………………………13 7设计心得体会…………………………………………………13 8 附录……………………………………………………………13 8.1总原理图……………………………………………………13 8.2 PCB图………………………………………………………14 9参考文献…………………………………………………………14 交通灯控制电路 摘要:交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、定时器、控制器、译码显示电路组成。秒脉冲发生器由555定时器产生脉冲,定时器由74LS163实现,控制器由74LS153和74LS74组成,译码电路采用74LS48和七段数码管来显示。控制器通过ST信号对定时器进行控制,从而显示红黄绿灯的转换。 关键字:交通灯 控制器 秒脉冲发生器 定时器 译码器 Abstracts: Traffic lights are often used in the intersection, used to control the flow of vehicles, Improve the intersection capacity, reduce traffic accidents. The design of traffic lights mainly by the second pulse generator, a timer, a controller, decoding display circuit。A second pulse generator produced by the 555 timer pulse, the timer is realized by 74LS160, controller is composed of 74LS153 and 74LS74, decoding circuit uses the 74LS48 and seven digital tube display. A controller controls the timer through ST signal, which shows the conversion of red and yellow lights. Keywords: traffic light c ontroller second pulse generator timer decoder 1.前言 现代社会交通越来越发达,人们的出行都离不开交通方式,便捷的交通不仅为人们提供了方便,而且还为出门在外的人群赋予了一个安全的环境,让人们享受便捷交通的愉悦。随着大规模集成电路技术的发展,交通灯的控制也越来越数字化和集成化,这种控制技术的设置

文档评论(0)

zkv961 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档