基于EDA技術的汽车尾灯控制电路设计.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于EDA技術的汽车尾灯控制电路设计

汽车尾灯控制电路 一、设计任务 (一)系统功能 汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车、倒车五种情况,针对这五种情况可以设计出汽车尾灯的控制电路来表示这五种状态。 根据以上所述,应用数字电子技术的知识,设计一款汽车尾灯控制系统,该系统中: 1、假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 2、汽车正常运行时指示灯全灭; 3、汽车左转弯时,左侧3个指示灯按左循环顺序点亮,汽车右转弯时,右侧3个指示灯按右循环顺序点亮,临时刹车和倒车时所有指示灯同时亮。 4、倒车时播放语音警告 5、设置两个可控制的开关,可产生00、01、10、11四种状态 开关置为00状态时,表示汽车处于正常运行状态。 开关置为01状态时,表示汽车处于右转弯状态。 开关置为10状态时,表示汽车处于左转弯状态。 开关置为11状态时,表示汽车处于刹车状态。 另设一开关表示汽车运行状态,0表示向前运行,1表示向后倒车。 (二) 汽车尾灯控制电路设计任务 1、系统框图与原理图设计 2、系统电路原理EDA设计与仿真 3、系统电路的PCB印制板设计 4、硬件调试 (三)EDA仿真实验条件要求 实验板仿真芯片用Altera Cyclone系列的1C6 240-pin TQFP可编程逻辑芯片。 大部分仿真用计算机软件QuartusII9.0来完成,当认为运行比较理想时,要按照后文的管脚定义,分配好管脚,编译成可下载的文件,下载到实验板上。要求能够实现主体功能,实验结果存在的问题,要在报告中分析其原因。 二、实际设计 (一)、系统框图与原理图设计 该系统的内部控制主要由个模块构成,分别为:状态开关、控制器、倒车警告电路及二极管电路。系统原理框图如下: 尾灯发光二极管倒车警告电路控制器状态开关 尾灯发光二极管 倒车警告电路 控制器 状态开关 1、状态开关共由3个开关组成,一个开关控制汽车运行方向,其余两个开关表示汽车运行状态。 2、控制器为写入程序的Altera Cyclone系列的1C6 240-pin TQFP FPGA芯片,由它根据输入的开关状态控制相应的输出信号。 3、尾灯发光二极管是由6个二极管分成两组构成的电路,模拟汽车尾部的左右尾灯。 4、倒车报警电路是由语音芯片WTV020构成,当汽车倒车时控制器输出相应信号使该报警电路工作。 (二)系统原理EDA设计与仿真 1、EDA软件和硬件环境 EDA软件为Modelsim和QuartusII,硬件环境为友晶公司Trex_C1开发板。 2、verilog编程设计 本设计才用verilog语言编写了含有4个状态的状态机,四个状态分别对应正常行驶、左转、右转和紧急刹车,在左转和右转状态中利用verilog模拟移位寄存器对输出信号移位处理得到信号灯循环闪亮的效果,具体设计原理图如下: 移位寄存器控制开关 移位寄存器 控制开关 状态0(正常行驶)状态3(紧急刹车) 状态0(正常行驶) 状态3(紧急刹车) 状态1(右转)状态2(左转) 状态1(右转) 状态2(左转) 移位寄存器 移位寄存器 右侧尾灯左侧尾灯 右侧尾灯 左侧尾灯 程序代码: `timescale 1ns/100ps module carled(clk,sw_in,led_left,led_right,state); input clk; input [1:0] sw_in; output [1:0] state; output [2:0] led_left; output [2:0] led_right; reg [2:0] led_left; reg [2:0] led_right; reg [31:0] count; reg [31:0]temp1; reg [31:0]temp2; parameter[1:0] s0=2b00,s1=2b01,s2=2b10,s3=2b11; reg [1:0] state; always@(sw_in) case (sw_in) 2b00:state=s0; 2b01:state=s1; 2b10:state=s2; 2b11:state=s3; endcase always@(state or clk) case(state) s0: begin led_left[2:0]=3b0; led_right[2:0]=3b0; temp1=0; temp2=0; end s1: begin led_left[2:0]=3b000; //initial if(tem

文档评论(0)

fglgf11gf21gI + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档