计算机组织与结构实验讲义(2014版).docx

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
计算机组织与结构实验讲义(2014版)

计算机组织与结构实验讲义(2014版)任国林 编写东南大学计算机科学与工程学院计算机组织与结构课程实验是为巩固教学效果而设置的,学生可以通过这些实验掌握计算机部件的逻辑组成及其工作原理,熟悉数字电路芯片的使用方法,提高逻辑设计能力,为设计计算机模型机打下基础。计算机组织与结构课程实验共有4个,分别是运算器组成实验、存储器组成实验、寄存器组成实验、CPU数据通路实验。所有实验均基于EDA工具Quartus II进行设计和功能仿真,条件允许时基于FPGA芯片进行功能验证。实验一 运算器组成实验一、实验目的 (1)熟悉加/减法器的功能及使用方法。(2)掌握算术逻辑部件(ALU)的功能及其逻辑组成。(3)加深对运算器工作原理的理解。二、实验内容(1)掌握Quartus II的使用方法,能够进行数字电路的设计及仿真。(2)验证Quartus II所提供加/减法器的功能及使用方法。(3)设计具有加法、减法、逻辑与、逻辑非4种功能的ALU,并进行功能仿真/验证。三、实验原理及方案运算器是计算机硬件对数据进行加工的重要部件,算术逻辑部件ALU是其核心,还包括存放操作数和运算结果的寄存器/锁存器、存放运算结果状态的触发器等器件。根据给定控制信号的不同,运算器可以实现不同的运算功能。 为便于运算器组成设计有效进行,先介绍一下Quartus II的使用方法。1、基于Quartus II的电路设计及仿真方法基于Quartus II,电路设计的主要过程包括:建立工程文件、编辑原理图文件、编译原理图文件,电路仿真的主要过程包括:编辑仿真波形文件、生成功能仿真网表、验证仿真波形正确性。以功能为Z=X·Y的电路为例,使用Quartus II、采用原理图方法进行电路设计的过程如下:1)建立工程文件:通过主菜单File→New Project Wizard可建立工程文件,包含5个页面的设置。 ·第1页为设置工程文件信息,包括工程文件名、工作目录名 ·第2页为在工程中加入文件,可将已有的GDF文件添加到工程文件中,本例中无 ·第3页为选择FPGA器件型号,本例中采用Cyclone系列的EP1C6Q240C8芯片 ·第4页为添加准备使用的EDA工具,通常直接选择Next·第5页为查看、确认工程文件信息,无误时选择Finish即可。2)编辑原理图文件:通过主菜单File→New→Device Design Files→Block Diagram/schematic File可进入原理图编辑器,进行电路原理图编辑,通过主菜单File→Save保存为原理图文件。注意,原理图应包括输入引脚、输出引脚,电路编辑包括选择元器件、引脚连接,本例中电原理图有2个输入引脚、1个输出引脚,电路逻辑为1个2输入端的与门,假设文件名为C_AND.bdf。3)设置顶层文件:在Project Navigator窗口的File页面中,选择需编译或仿真的电原理图文件,通过该文件的右键菜单Set as Top-Level Entity可设置该文件为顶层文件。本例中选择的文件名为C_AND.bdf。4)编译工程文件:即编译顶层文件,通过主菜单Processing→Compiler Tool进入编译界面,按Start开始编译。编译时,提示窗口中将显示编译相关信息,包括错误信息。编译完成后,即可进入功能仿真阶段。以原理图C_AND.bdf为例,使用Quartus II进行电路功能仿真的过程如下:1)编辑仿真波形文件:通过主菜单File→New→Other Files→Vector Waveform File可进入波形文件编辑器,进行当前顶层文件的波形文件编辑,选择所需的I/O引脚,设置各输入引脚信号波形后,通过主菜单File→Save保存为波形文件。已有波形文件的编辑可以通过主菜单File→Open进入。注意,一个电原理图文件可以有多个波形文件,仿真时允许进行选择。2)生成功能仿真网表:仿真有功能仿真、时序仿真两种,该步骤仅在功能仿真时使用。注意,原理图修改后,仿真前需重新进行编译、生成功能网表。3)验证仿真波形正确性:按Start开始仿真后,通过Report显示仿真波形,对照电路功能真值表,查看所设计电路功能是否正确。注意,原理图文件被修改后,必须重新编译,且重新生成功能仿真网表。上述介绍仅为总体过程,具体操作步骤参见Quartus II相关使用指南。2、ALU的逻辑组成ALU是运算器的核心部件,能够实现多种算术运算、逻辑运算功能,其功能种类是由指令系统的功能所决定的。ALU的外部引脚通常由两组数据入端A和B、一组数据出端F、一组功能选择信号SEL、几个结果状态信号组成,数据宽度通常有8位、16位等。由于F=function(A, B),故ALU又常称为函数功能发生器,其功能选择信号SEL决定了所实现的

文档评论(0)

liudao + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档