《正弦波信号发生器设计》.doc

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
项目九 正弦波信号发生器设计 实训目标 在MAX+plus Ⅱ中设计一个正弦波信号发生电路; 使用D/A转换模块,从实验箱上面的D/A转换器AD558输出设计波形; 使用示波器观察并记录输出波形。 实训内容 根据系统框图完成信号发生器的设计。 在系统中需建立一个LPM_ROM宏模块来调用存储在sin.mif文件中的正弦波数据。 完成系统设计后,对设计进行仿真,需将仿真数据与sin.mif中的数据进行比较,确保系统可以完整的输出sin.mif中的所有数据。存储在sin.mif中的数据如下: 连接CPLD和AD558,完成CPLD对AD558的控制。 由上面的AD558控制逻辑图可知,当为低电平、为高电平时,AD558保持上次转换结果;当和同时为低电平时,通过数据总线D[7..0]读入数据,同时将转换结果输出。 因为在本项目中需要实时输出转换结果,所以将和同时置‘0’即可。 然后将从CPLD输出的8位数字信号传送到AD558的输入端。 调整EDA-V系统上D/A模块中的输出位置,确保正弦波信号从AD558的D/A OUT端输出。 使用示波器观察D/A OUT的输出波形,并记录输出波形的频率以及幅值。 实训数据 画出所设计的系统原理图,并附上每个模块的程序代码。 CNT 模块程序代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt is port(clk:in std_logic; q:out std_logic_vector(5 downto 0)); end; architecture one of cnt is signal q1:std_logic_vector(5 downto 0); begin process(clk) begin if clkevent and clk=1 then q1=q1+1; end if; end process; q=q1; end one; 记录仿真结果,要求至少包括10个周期的仿真输出数据。 clk:时钟输入端 q[7..0]:输出端 绘制在示波器中观察到的输出波形。 DA转换之前的波形: D/A转换后的波形: 湖北轻工职业技术学院 电子设计自动化实训报告 their own conditions to develop the correct road, the maximum to avoid investment risk, gain profit.(three) vigorously promote the brand. To establish brand awareness, awareness of the use of brand, brand value, brand acquisition performance, enhance the competitive strength. Concentrated manpower, careful planning, packaging and publicity of a number of unique, market influence and coverage of the brand, the implementation of key breakthroughs, to enhance the competitive strength, walking business road the competition of alienation and characteristics, the pursuit of stability and development of the market.(four) to promote the integration of resources. To further broaden their horizons, effective integration of resources within the group, the city resources, other industries and regional resources, mutual trust, mutual benefit, seeking win-win principle, in the framework of national policies and regulations, strict inspection and argumentation, lega

文档评论(0)

guadihaoying + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档