《洗衣机控制器设计》.docxVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字电路与逻辑设计实验报告 洗衣机控制器设计 学号: 班级: 洗衣机控制器要求基本要求设计制作一个全自动洗衣机的控制器:_ 洗衣机的工作步骤为洗涤、漂洗和脱水三个过程,工作时间分别为:洗涤30秒(进水5秒,洗衣15秒,排水5秒,甩干5秒),漂洗25 秒(进水5秒,漂洗10秒,排水5秒,甩干5秒),脱水15 秒(排水5秒,甩干10秒);_ 用一个按键实现洗衣程序的手动选择:A、单洗涤;B、单漂洗;C、单脱水;D、漂洗和脱水;E、洗涤、漂洗和脱水全过程;_ 用显示器件显示洗衣机的工作状态(洗衣、漂洗和脱水),并倒计时显示每个状态的工作时间,全部过程结束后,应有提示音提示使用者,并保持在停止状态,直至再次开始;-用点阵动画显示洗衣机工作过程中的进水、波轮或滚轮转动、排水和甩干等的工作情况,四种工作情况的动画显示要有区别且尽可能的形象。_ 用一个按键实现暂停洗衣和继续洗衣的控制,暂停后继续洗衣应回到暂停之前保留的状态;_ 选做:三个过程的时间有多个选项供使用者选择。_ 选做:可以预约洗衣时间。_ 选做:自拟其它功能。二.系统设计(一)基本思想电路分为7个模块,分别是提供各种频率的分频模块,显示洗衣动画的点阵模块,显示倒计时的数码管模块,按键模块,模式选择模块,报警模块和控制模块。模式选择模块五种洗衣模式可供用户选择,模式选择模块将用户的选择信息传递到控制模块。2.点阵显示模块 点阵显示是将形象的洗衣动画模拟出来,被人们的视觉器官所接受。3.译码和数码显示模块 译码和数码显示电路是将计时状态直观清晰地反映出来,被人们的视觉器官所接受。显示器件选用LED 七段数码管。在译码显示电路输出的驱动下,显示出清晰、直观的数字符号。4.按键模块将用户的选择信息传送到模式选择模块5.报警模块 当系统运行结束时,蜂鸣器会报警提醒用户。6.分频器模块 为系统提供合适的频率的电信号7.控制模块 控制模块是整个系统的中心部分,是状态机设计部分。在该模块实现了洗衣机各种状态的运行和转换,还控制着模拟电机的显示模块。(二)总体框图(三)状态转移图State=111State=110State=001State=100State=000State=010(四)系统框图(五)控制器流程图NoNoNoNoYesYesYesYes输入状态改变状态modeMode不为000按键模块信息传递是否开始计时时间显示时间为零洗衣结束报警是否暂停暂停动画模拟洗衣衣Led显示模式选择(六)原理图三.分块设计及仿真分析 1.分频模块该模块一共有13个进程,利用分频的思想,将50MHZ经过分频得到不同的频率,分别用于控制模块,点阵显示模块,数码管显示模块,防抖模块模块和报警模块等。程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpinqi isport (clk: in std_logic;--20ns clkkeyout:out std_logic;--10ms clksegout: out std_logic;--1s moni 20ms clkconout: out std_logic;--5ms clkfs:out std_logic; cpout: out std_logic;--1ms clkdz:out std_logic;--0.5s clkwarn: out std_logic; clk1out:out std_logic; clk2out:out std_logic; clk3out:out std_logic; clk4out:out std_logic; clk5out:out std_logic; clk6out:out std_logic --clkwarn:out std_logic );end fenpinqi;architecture div of fenpinqi issignal tmp1:integer range 0 to 24999;--1mssignal clktmp1: std_logic;signal tmp2:integer range 0 to 124999;--5mssignal clktmp2: std_logic;signal tmp3:integer range 0 to 249999;--10mssignal clktmp3: std_logic;signal tmp4:integer range 0 to ;--1s moni 20mssignal clktmp4: std_logic;signal tmp5:integer range 0 to 1;--0.5s moni 10mssig

文档评论(0)

lovehome + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档