第4章VHDL语言..ppt

  1. 1、本文档共283页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第9章 VHDL结构与要素(重点) 1 实体 2 VHDL语言程序的结构体 VHDL语言的文字规则 数据类型 运算操作符 习 题 关键词 实体(2部分) 实体的构成:类参说明语句,端口说明语句 结构体(2部分) 结构体的说明语句,功能语句(5种) 并行方式(难点) 端口模式(4种) 数据对象(3种) 常量、变量、信号 9.1.1 VHDL的特点 VHDL之所以被硬件设计者日趋重视,是因为它在进行工程设计时有如下优点: (1) VHDL行为描述能力明显强于其他HDL语言,这就使得用VHDL编程时不必考虑具体的器件工艺结构,能比较方便地从逻辑行为这一级别描述和设计电路系统。而对于已完成的设计,不改变其源程序,只需改变某些参量,就能轻易地改变设计的规模和结构。比如设计一个计数器,若要设计8位计数器,可以将其输出引脚定义为“BIT_VECTOR(7 DOWNTO 0);”,而要将该计数器改为16位计数器时,只要将引脚定义中的数据7改为15即可 “BIT_VECTOR(15 DOWNTO 0);” 。 (2) 能在设计的各个阶段对电路系统进行仿真模拟,使得设计者在系统的设计早期就可检查设计系统的功能,极大地减少了可能发生的错误,降低了开发成本。 (3) VHDL程序结构(如设计实体、程序包、设计库)决定了它在设计时可利用已有的设计成果,并能方便地将较大规模的设计项目分解为若干部分,从而实现多人多任务的并行工作方式,保证了较大规模系统的设计能被高效、高速地完成。 (4) EDA工具和VHDL综合器的性能日益完善。经过逻辑综合,VHDL语言描述能自动地被转变成某一芯片的门级网表;通过优化能使对应的结构更小、速度更快。同时设计者可根据EDA工具给出的综合和优化后的设计信息对VHDL设计描述进行改良,使之更为完善。 9.1.2 VHDL程序的一般结构 小到一个元件、一个电路,大到一个系统,都可以用VHDL描述其结构、行为、功能和接口。编程时,VHDL将一项工程设计(或称设计实体)分成“外部端口”和“内部结构、功能及其实现算法”两大部分进行描述。一个设计实体的内、外部都设计完成后,其他实体就可以像调用普通元件一样直接调用它。 例8-1给出了一个较简单的VHDL源程序,它实现了一个与门。 [例8-1] 该程序包括一个VHDL程序必备的两个部分:实体(ENTITY)说明部分和结构体(ARCHITECTURE)说明部分。 “实体”说明部分给出了器件AND2的输入/输出引脚(PORT)的外部说明,如图8-1所示。其中A、B是两个输入引脚(IN),数据类型为BIT,即“二进制位”数据类型,这种数据类型只有“0”和“1”两种逻辑值;C为输出引脚,数据类型也为BIT。这部分相当于是画原理图时的一个元件符号。 “结构体”说明部分给出了该器件的内部功能信息。其中“AND”是VHDL的一个运算符,表示“与”操作;而符号“=”是VHDL的赋值运算符,从电路的角度来说就是表示信号的传输,将输入信号A、B“与”操作后的结果传送到输出端C。VHDL的逻辑综合软件将根据该程序的描述得到相应的硬件设计结果。 从这个例子可以看出,VHDL的所有语句都是以“;”结束,而“;”后的“--”表示是程序注释。 9.2 VHDL语言的程序结构 实体(Entity)、结构体(Architecture)是组成VHDL的两个最基本结构,如例4-1就是只包含这两个基本结构的最简单的VHDL程序。 考虑到大型设计过程通常采用多人多组的形式进行,为了使已完成的设计成果(包括已定义的数据类型、函数、过程或实体等)为其他设计任务所共享,有必要把被共享的设计成果集中到一起。VHDL语言设置了库(Library)与程序包(Package)的程序结构。 此外,对于较复杂的设计项目,一个实体往往与多个结构体相对应。而当实体设计完成后,放入程序包供其他实体共享时,其他实体可能只需要使用该实体的一个结构体,这时,VHDL提供了配置(Configuration)这种结构,为实体配置(指定)一个结构体。 可见,实体(Entity)、结构体(Architecture)、库(Library)、程序包(Package) 与配置(Configuration)是构成一个完整的VHDL语言程序的五个基

文档评论(0)

zilaiye + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档