[3第3章Verilog设计入门.ppt

  1. 1、本文档共79页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[3第3章Verilog设计入门

3.1 组合电路的Verilog描述 3.1.5 加法器及其Verilog描述 4. 算数操作符的使用 Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 5. 全加器描述----用半加器模块和或门模块描述 3.1.5 加法器及其Verilog描述 Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 5. 全加器描述----用半加器、或门模块及例化语句描述 3.1.5 加法器及其Verilog描述 module or2a(a,b,c); input a,b; output c; assign c = a | b; endmodule Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 5. 全加器描述----用半加器、或门模块及例化语句描述 3.1.5 加法器及其Verilog描述 module f_adder(ain,bin,cin,sum,cout); input ain,bin,cin; output sum,cout; wire e,d,f; h_adder u1(ain,bin,e,d);//位置例化法例化语句 h_adder u2(.a(e),.b(cin),.so(sum),.co(f));//端口关联法例化语句 or2a(.a(d), .b(f), .c(cout)); //端口关联法例化语句 endmodule Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 5. 全加器描述----用半加器、或门模块及例化语句描述 3.1.5 加法器及其Verilog描述 Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 5. 全加器描述----顶层文件及例化语句描述 3.1.5 加法器及其Verilog描述 Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 3.1.5 加法器及其Verilog描述 5. 全加器顶层文件设计和例化语句 信号关联法例化语句: 位置关联法例化语句: 注意:被例化的元件可以是任何HDL语言描述的模块、FPGA库元件,甚至IP核。 模块元件名 例化元件名(.例化元件端口(例化元件外接端口),……); Evaluation only. Created with Aspose.Slides for .NET 3.5 Client Profile 5.2.0.0. Copyright 2004-2011 Aspose Pty Ltd. 3.1 组合电路的Verilog描述 3.1.5 加法器及其Verilog描述 6. 8位加法器描述 module ADDER8B(A,B,CIN,DOUT,COUT); output[7:0] DOUT; output COUT; input[7:0] A,B; input CIN; wire[8:0] DATA; assign DATA = A + B + CIN; assign COUT = DATA[8];//注意数值表示 assign DOUT = DATA[7:0]; //注意数值表示 endmodule Eval

文档评论(0)

wendan118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档