EDA第五章VHDL设计进阶1节-语言要素-定稿解析.ppt

EDA第五章VHDL设计进阶1节-语言要素-定稿解析.ppt

  1. 1、本文档共41页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA第五章VHDL设计进阶1节-语言要素-定稿解析

类型 操作符 功能 操作数数据类型 关 系 操 作 符 = 等于 任何数据类型 /= 不等于 任何数据类型 小于 枚举与整数及对应的一维数组 大于 同上 = 小于等于 同上 = 大于等于 同上 类型 操作符 功能 操作数数据类型 ? 逻 辑 操 作 符 ? AND 与 BIT、BOOLEAN、STD LOGIC OR 或 同上 NAND 与非 同上 NOR 或非 同上 XOR 异或 同上 XNOR 异或非 同上 NOT 非 同上 (2)、各种操作符的使用说明: I、必须严格遵循在基本操作符之间的操作数是相同的数据类型的规则,且要求操作数的数据类型和操作符所要求的数据类型也完全相同。 II、注意操作符之间的优先级别,可使用括号将运算分组。 操作符的优先级从高到低依次为:括号( )→(NOT,ABS,**)→(REM,MOD,/,*)→(正负号+、-)→(加、减、并置)(关系运算符 =,/=, 等)→(除去NOT的逻辑运算符:XOR,NOR,NAND,OR,AND)--括目符运关逻辑 III、VHDL共有7种基本逻辑操作符,对于数组类型的数据对象的相互作用是按位进行的,因此要求操作符使用使用数组的中元素的基本类型符号。例如 BIT和BOOLEAN与BIT_VECTOR对应,STD_LOGIC与STD_LOGIC_VECTOR对应。 例5-2—逻辑运算VHDL描述 --电路结构上进行思考 SIGNAL a,b,c:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL d,e,f,g:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL h,i,j,k:STD_LOGIC; SIGNAL l,m,n,o,p:BOOLEAN; : a = b AND c; d = e OR f OR g;--两个符号都是OR,因此不加括号 h = (i NAND j) NAND k;--加括号分组 l = (m XOR n) AND (o XOR p);--加括号分组 h = i AND j AND k; --都是AND,省略括号 h = i AND j OR k;--错误,两个符号不同,需加括号分组 a = b AND e;--错误,b和e长度不同 h = i OR l;--错误,i和l类型不同 : 通常一个逻辑表达式中有两个以上的逻辑运算符,需要使用括号进行分组,但是如果运算符号相同且都是AND、OR、XOR的时候,括号可以省略。例子: IV、关系操作符的作用是将相同数据类型的数据对象进行数值比较(=或者/=)或关系排序判断(、=、、=),并将结果以布尔类型的数据表示出来(TRUE、FALSE),对于数组类型的数据进行的是逐位比较或者关系排序。具体见后面两个例子: 例5-3 –四位二进制比较程序1 ENTITY relational_ops_1 IS PORT(a,b: IN BIT_VECTOR(0 TO 3); output: OUT BOOLEAN); END ENTITY relational_ops_1 ARCHITECTURE behave OF relational_ops_1 IS BEGIN output =(a=b); END behave; 例5-4—四位二进制比较程序2 ENTITY relational_ops_2 IS PORT(a,b: IN BIT_VECTOR(0 TO 3); output: OUT BOOLEAN); END ENTITY relational_ops_2 ARCHITECTURE behave OF relational_ops_2 IS BEGIN output =(a=b);--需要重载函数转化为整形进行比较 END behave; 本例和上一个例子的区别就是上面进行的是相等判断,本例进行的是两个逻辑值值之间的=判断,需要从高到低逐位进行比较,因此耗费资源,所有的比较都是从左到右进行逐位进行比较。而之前的比较相等只需要两组逻辑值进行异或即可。 V、算数操作符可以分为求和操作符,求积操作符、符号操作符,混合操作符、移位操作符等五类操作符。 求和操作符号分为加减操作符号和并置操作符,加减操作例子如下: 例5-5—整数加法运算电路 ENTITY arithmetic IS PORT(a,b: IN INTEGER); c: OUT INTEGER); END ENTITY arithmetic ARCHITECTURE behave OF arithmetic IS BEGIN c =a+b; END behave; 求和运算符号里的并置操作符号为的操

文档评论(0)

此项为空 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档