模電课程设计--数字钟.docVIP

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
模電课程设计--数字钟

大连理工大学项目报告 题目:数字钟 项目名称:数电实验设计 学院(系):电信 专 业: 班 级: 学生姓名: 学 号: 完成日期:7.20 1、设计要求 具有如下功能的闹钟: 1).设计一个具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)计时器。 2). 具有手动校时、校分的功能。 3).定时与闹钟功能,能在设定的时间发出闹铃声。 4).能进行整点报时。要求发出仿中央人民广播电台的整点报时信号,即从59分50秒起,每隔2秒钟发出一次低音“嘟”的信号,连续5次,最后一次要求高音“嘀”的信号,此信号结束即达到整点。 2、设计分析及系统方案设计 1). 时钟控制:将set置0,时钟小时位、分钟位、秒位全部归0;将set置1,时钟开始“走”。 2). 时钟设置:将sel置1,开始进行时间设置,按下键8,输出一个脉冲,时位进1,进行小时设置;按下键7,输出一个脉冲,分位进1,进行分钟设置。将sel置0,设置结束,时钟开始运行。 3). 闹钟设置:将setc置1,开始进行闹钟设置。将set1置1,进行闹钟小时位设置,按一下键6,输出一个脉冲,小时位叫进1;将set1置0,进行闹钟分钟位设置,按一下键6,输出一个脉冲,分钟位进1。 4). 闹钟铃声:当时钟时、分“走”到与上面设置的闹钟的时、分一致时,comout输出1,一分钟后时钟分与闹钟分不一致,comout输出0。 5). 报时设置:当时钟分位走到59,秒位走到51时,发出第一声512Hz响声,秒位走到53时,发出第二声512Hz响声,55第三声,57第四声,走到59秒时,发出1024Hz响声。闹铃实现:当comout输入为1时,发出1024Hz响声,当comout输入为0时,响声停止。 系统框图: 3、系统以及模块硬件电路设计 试验箱设备采用FLEX10K/EPF10K10L84-8(摸5) 说明: 1)、控制部分:键8为时钟设置“时”的设置按键,键7为“分”的设置按键,键6为闹钟设置时和分的设置按键,键5为reset置零键,键4为时钟设置控制键,键3为闹钟设置控制键,键2为闹钟时和分设置的切换键。 2)、显示部分:D8显示时钟“时”的高位,D7显示时钟“时”的低位,D6显示时钟“分”的高位,D5显示“分”低位,D4显示“秒”高位,D3显示“秒”低位,D2显示设置闹钟“时”或者“分”的高位,D1显示设置闹钟“时”或者“分”的低位。 3)、clk为时钟输入CLK,为1Hz,clk1024为闹铃和报时输入CLK,为1024Hz。 摸5时GW48结构图信号名: 下载时选择的开发系统模式以及管脚定义 接口名称 类型(输入/输出) 结构图上的信号名 引脚号 说明 clka 输入 PIO7 16 时间“时”设置 clka 输入 PIO6 11 时间“分”设置 sel 输入 PIO3 8 时间设置控制 reset 输入 PIO4 9 置0控制 setc 输入 PIO2 7 闹钟设置控制 set1 输入 PIO1 6 闹钟“时”“分”设置切换 f 输入 PIO5 10 闹钟设置 clk 输入 CLOCK0 2 时钟频率 clk1 输入 CLOCK5 83 铃声频率 hh 输出 PIO47-PIO44 72、73、78、79 “时”高位 hl 输出 PIO43-PIO40 66、67、70、71 “时”低位 mh 输出 PIO39-PIO36 61、62、64、65 “分”高位 ml 输出 PIO35-PIO32 54、58、59、60 “分”低位 sh 输出 PIO31-PIO28 50、51、52、53 “秒”高位 sl 输出 PIO27-PIO24 39、47、48、49 “秒“低位 high 输出 PIO23-PIO20 35、36、37、38 闹钟“时”或“分”高位 low 输出 PIO19-PIO16 27、28、29、30 闹钟“时”或“分”低位 bell 输出 SPEAKER 3 闹铃和报时声音 引脚图 4、系统的VHDL设计 1)、分、秒模块(摸60计数器) 程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity munite_and_second is port(clk: in std_logic; reset: in std_logic;--置0设置 qh: out std_logic_vector(3 downto 0);--“秒”或“分”

文档评论(0)

df9v4fzI + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档