- 1、本文档共8页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
分频器实验报告.
设计二:非整数分频器设计实验目的:掌握非整数分频器设计的两种方法:分频比交错和累加器分频实验内容分频比K值的确定方法:根据学号确定M和N:Ns上式求得M=26,N=4然后根据下式计算分频比K的值: 实现方法:方法1:分频比交错假定N=6, M=2 ,则分频比K=(8*(26-4)+9*4)=8先设计两个分频器:8分频器fre8 与9分频器fre9。为使得分频效果尽可能均匀,则应该每隔5个8分频处插入一个9分频。fre8与fre9都应对clk信号敏感,在需要时将分频器的输出引入。fre8与fre9可看作两个元器件,在输出时存在时序问题。如,在第一次引入fre9的输出时,由于分频数不一致,此时fre9内部的状态count/=0。为使得在输出新分频器结果的时候,分频器的状态为初始状态,特引入复位信号re。当re=1时,分频器处于初始状态,re=0时,分频器正常工作。 工作流程如下:fre_cfre8 方法2:累加器分频如下图所示,累加器分频,通过调整步长STEP的值来实现不同的分频比。累加分频器原理图累加器位数为29,累加器的模值NM为229。STEP=658426,分频比为:K=8.153846154.程序结构: 在设计过程中程序分为两个进程,四个部分。进程P1,P2分别代表两种分频方法。 四个部分分别为8分频器fre8,9分频器fre9,控制部分fre_c以及累加分频器算法 为了将两种分频器的结果在一个程序中显示,在此将累加分频器算法加入到fre_c中 程序分为三个文件fre9.vhd,fre9.vhd,fre_c.vhd5. 仿真结果cout-----分频比交错cout1----累加分频器竖线处为第一周期结束的地方竖线处为交错分频器第一次使用9分频器的情况6. 思考题:在你的实验中,分频比交错的规律是怎样的? 由学号计算出N=26,M=4,K=8所以共需进行26次分频。其中有22次是8分频,有4次是9分频,所以为了满足分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进行,所以我的规律是:123456789101112131415161718192088888988888988888988212223242526888988你的STEP值是怎么确定的?为什么这样确定?为了满足题目所要求的8位精度,所以按以下计算方法计算:依据公式计算出至少有8位小数的K值。如我的计算结果是:82X计算。根据不断尝试得知,X越大计算结果越精确,所以选择X=26开始尝试。 用226除以8位小数的K值并得到结果取整记为H0再用226/H0,将所得的结果与K想比较,发现8位小数内不同,故226不符合需求,需提高精度。可以尝试227重复上述过程,直到234时,H0=2106965089,234/H0=8tep=210695089 7.心得体会: 交错分频:交错分频的重点是8分频器和9分频器的控制和选择的时机。 (1)为使得输出的结果尽可能好,9分频器的插入时间应均匀。在本程序中为每隔5个8分频器插入一个9分频器。 (2)对分频器进行初始化的时候会有一个时钟的时延。更改re的值(re8,re9)时也会有一个时钟的时延,所以在初始化分频器的时候应直接进入count=2 累加器分频:累加器分频的重点是H0的确定,程序编写较为简单。 输出结果时,两者的波形可能不懂,但效果基本上是一致的。8.实验代码 fre8library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity fre8 is port(re:in std_logic; clk:in std_logic; clk_div8:out std_logic);end;architecture f8 of fre8 is signal count:std_logic_vector(2 downto 0); signal clk_temp:std_logic;begin process(clk,re) begin if(clkevent and clk=1)then if(re=1) then count=010; elsif(count=111)then count=(others=0); else count=count+1; end if; end if; if(re=1) then clk_temp=0; else clk_temp=count(2); end if; clk_div8=clk_temp; end process
您可能关注的文档
- 函数与四边形综合类型题教案(带答案)..doc
- 函数的奇偶性与周期性教学案..doc
- 函数递归在树形结构数据遍历中的应用..doc
- 刃针微创治疗术项目申请报告中医八诊室..doc
- 刃针疗法的基础理论及刃针疗法的适应症禁忌症及操作要领..doc
- 分享沪铜期现套利指引..doc
- 分仓管理办法..doc
- 分光计调整和三棱镜顶角的测量..doc
- 分子生物学(重点理论及实验操作)..doc
- 分子生物学大实验操作指南..doc
- 2024年学校党总支巡察整改专题民主生活会个人对照检查材料3.docx
- 2025年民主生活会个人对照检查发言材料(四个带头).docx
- 县委常委班子2025年专题生活会带头严守政治纪律和政治规矩,维护党的团结统一等“四个带头方面”对照检查材料四个带头:.docx
- 巡察整改专题民主生活会个人对照检查材料5.docx
- 2024年度围绕带头增强党性、严守纪律、砥砺作风方面等“四个方面”自我对照(问题、措施)7.docx
- 2025年度民主生活会领导班子对照检查材料(“四个带头”).docx
- 国企党委书记2025年度民主生活会个人对照检查材料(五个带头).docx
- 带头严守政治纪律和政治规矩,维护党的团结统一等(四个方面)存在的问题整改发言提纲.docx
- 党委书记党组书记2025年带头增强党性、严守纪律、砥砺作风方面等“四个带头”个人对照检查发言材料.docx
- 2025年巡视巡察专题民主生活会对照检查材料.docx
文档评论(0)