FPG10位序列检测器.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA嵌入式系统设计专题实践 题目二:10位序列检测器设计 专业:电子信息科学与技术 班级:电信1101 姓名:张刚刚 学号:1110930120 设计题目:10位序列检测器设计 设计目标: 掌握序列检测器的工作原理 2. 利用有限状态机实现一般时序逻辑分析的方法,了解一般状态机的设计与应用。 设计原理: 本系统采用实验箱的48MHz时钟作为输入时钟,将其分频得到计数器计数频率和序列检测器检测序列频率,分析题目要求显示“RIGHT”或者“ERROR”,需要五个数码管,所以设计5进制计数器之后再加一个选通器直接连实验箱上数码管的位选;KEY3为待检测序列输入端,KEY4为清零端,序列检测结果有两个,检测正确为‘1111’,检测错误为‘0000’,送到译码端可译出“RIGHT”或“ERROR”相应的段选码。 设计内容 VHDL描述 分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity pulse1 is port(clk: in std_logic; Fout:out std_logic); end; architecture one of pulse1 is signal full:std_logic; begin p_reg:process(clk) variable cnt8:integer rangedownto 0; begin if clkevent and clk=1 then if cnt8=100 then cnt8:=0; full=1; else cnt8:=cnt8+1; full=0; end if; end if; end process p_reg; p_div:process (full) variable cnt2:std_logic; begin if fullevent and full=1 then cnt2:=not cnt2; If cnt2=1then fout=1; else fout=0; end if; end if; end process p_div; end; 序列检测器 LIBRARY IEEE; USE IEEE.STD_logic_1164.all; Entity SCHK IS PORT(DIN,CLK,CLR:IN STD_LOGIC; AB:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END; ARCHITECTURE BEHAV OF SCHK IS SIGNAL Q:INTEGER RANGE 0 TO 10; SIGNAL D:STD_LOGIC_VECTOR(9 DOWNTO 0); BEGIN D=1111111111; PROCESS(CLK,CLR) BEGIN IF CLR=0 THEN Q=0; ELSIF CLKEVENT AND CLK=1 THEN CASE Q IS WHEN 0=IF DIN=D(9) THEN Q=1 ;ELSE Q=0;END IF; WHEN 1=IF DIN=D(8) THEN Q=2 ;ELSE Q=0;END IF; WHEN 2=IF DIN=D(7) THEN Q=3 ;ELSE Q=0;END IF; WHEN 3=IF DIN=D(6) THEN Q=4 ;ELSE Q=0;END IF; WHEN 4=IF DIN=D(5) THEN Q=5 ;ELSE Q=0;END IF; WHEN 5=IF DIN=D(4) THEN Q=6 ;ELSE Q=0;END IF; WHEN 6=IF DIN=D(3) THEN Q=7 ;ELSE Q=0;END IF; WHEN 7=

文档评论(0)

daixuefei + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档