(eda技术试卷一答案.docVIP

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
(eda技术试卷一答案

☆ ☆ 密 封 线 内 不 要 答 题 ☆ ☆ 姓 名 学 号 班 级 本套试卷共 5 页 一、选择题:(20分) 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__ A. CPLD是基于查找表结构的可编程逻辑器件 B. CPLD即是现场可编程逻辑器件的英文简称 C. 早期的CPLD是从FPGA的结构扩展而来 D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构 基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________D A.①②③④ B.②①④③ C.④③②① D.②④③① 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________B A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计 B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰 C.原理图输入设计方法无法对电路进行功能描述 D.原理图输入设计方法不适合进行层次化设计 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______D A.PROCESS为一无限循环语句 B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程 D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成 对于信号和变量的说法,哪一个是不正确的:_________A A.信号用于作为进程中局部数据存储单元 B.变量的赋值是立即完成的 C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样 进程中的信号赋值语句,其信号更新是___C____。 按顺序完成; 比变量更快完成; 在进程的最后完成; 都不对。 VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库 B.VITAL库 C.STD库 D.WORK工作库 ☆ ☆ 密 封 线 内 不 要 答 题 ☆ ☆ 姓 名 学 号 班 级 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。B 器件外部特性; 器件的内部功能; 器件的综合约束; 器件外部特性与内部功能。 下列语句中,不属于并行语句的是:_______B A.进程语句 B.CASE语句 C.元件例化语句 D.WHEN…ELSE…语句 10. 下列标识符中,__________是不合法的标识符。B A. State0 B. 9moon C. Not_Ack_0 D. signall 二、EDA名词解释或者简述(10分) 写出下列缩写的中文(或者英文)含义: ASIC 专用集成电路 FPGA 现场可编程门阵列 IP 知识产权核(软件包) FSM 有限状态机 5. HDL 硬件描述语言 三、VHDL程序填空:(20分) 1. 以下程序是一个BCD码表示0~99计数器的VHDL描述,试补充完整。(10分)答案:答案:library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt100b is port( clk, rst, en : in std_logic; cq : out std_logic_vector(7 downto 0);-- 计数输出 cout: out std_logic); -- 进位输出 end entity cnt100b; architecture bhv of cnt100b is begin process (clk, rst, en) variable cqi : std_logic_vector(7 downto 0); begin if rst = 1 then cqi := (others = ‘0’); -- 计数器清零复位 else if clk’event and clk = ‘1’

文档评论(0)

1983xuesheng + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档