【2017年整理】利用拨码开关控制米字管进行字母显示最终文档.docVIP

【2017年整理】利用拨码开关控制米字管进行字母显示最终文档.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
【2017年整理】利用拨码开关控制米字管进行字母显示最终文档

PAGE 7 - 中北大学 课 程 设 计 说 明 书 ? ? ? 学生姓名: 刘公绪 学 号: 1106044241? 学 院: 仪器与电子学院? 专 业: 电子科学与技术? 题 目: 利用拨码开关控制米字管进行字母显示 专业 负责人? ?甄国涌 ? 指导教师: 文丰 职称: 副教授 ? ? ? 2014 年 6月 9 日 中北大学 课 程 设 计 说 明 书 ? ? ? 学生姓名: 刘公绪 学 号: 1106044241? 学 院: 仪器与电子学院? 专 业: 电子科学与技术? 题 目: 利用拨码开关控制米字管进行字母显示 专业 负责人? ?甄国涌 ? 指导教师: 文丰 职称: 副教授 ? ? ? 2014 年 6月 9 日 目 录 1、课程设计目的…………………………………………………………………2 2、课程设计内容及要求…………………………………………………………2 2.1、设计内容……………………………………………………………………2 2.2、设计要求……………………………………………………………………2 3、设计方案及实现情况…………………………………………………………2 3.1、设计思路……………………………………………………………………2 3.2、工作原理及框图……………………………………………………………2 3.3、参考A--Z显示结果………………………………………………………3 3.4、字母对应的二进制译码……………………………………………………5 3.5、功能描述……………………………………………………………………6 4、VHDL程序……………………………………………………………………8 仿真结果………………………………………………………………………12 5.1、实验箱验证况………………………………………………………………12 6、课程设计总结…………………………………………………………………14 7、参考文献………………………………………………………………………15 1、课程设计目的 (1).学习操作数字电路设计实验开发系统,掌握米字管的工作原理及应用。 (2).掌握组合逻辑电路、时序逻辑电路的设计方法。 (3).学习掌握可编程器件设计的全过程。 2、课程设计内容和要求: 2.1、设计内容 用VHDL语言编写程序,利用拨码开关控制米字管进行字母显示。 2.2、设计要求 (1).学习掌握拨码开关控制模块、米字管的工作原理及应用; (2). 熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑; (3). 仿真所编写的程序,模拟验证所编写的模块功能; (4). 下载程序到芯片中,硬件验证所设置的功能,能够实现字母显示; (5). 整理设计内容,编写设计说明书。? 3、?设计方案及实现情况 3.1、设计思路 这个实验是用米字管显示26个英文字母,通过五个拨码开关不同的高低状态表示26种不同的字母显示。在完成基本功能的情况下尝试多种模式控制输出,如自动输出,闪烁输出,与手动拨码相结合等等。实验中大致有三种方案,一种是顶层文件设计法:即设计一个顶层实体,将各模块作为内部构件,通过元件例化语句给出各模块的引脚映射关系,然后将各构件对应的实体分别写出来,不需要生成图形,直接用硬件描述语言就可以实现,平常实验时对此种方法运用的比较熟练。第二种方法即是图形模块法:即用vhdl将各模块写好,生成图形,再将各图形模块的引脚对应的连好。最后也能实现该功能。前两种方法可谓殊途同归,都用到了模块分割的法,不过,一个是自上而下,一个是自下而上。这里我在深入理解题目的前提下,决定采用第三种方法。从逻辑功能上入手,各个功能模块儿分别用process语句实现,即用一个实体,通过把几个功能模块整合到结构体的各process中,巧妙的通过信号在各process语句即各功能模块中传递,同时用了case嵌套语句,将模式选择和译码电路有机结合起来。总之一句话,在逻辑结构上走点捷径,避免了顶层实体或者各模块图形化设计过程,用一个实体就完成很多功能。 3.2、工作原理及框图 全部清零(米字管上的17个数码管全灭)模式选择 全部清零 (米字管上的17个数码管全灭) 模式选择 00模式 00模式 手动控制 手动控制 显示 01模式拨码开关控制 01模式 拨码开关控制 10模式 10模式 自动递增显示 自动递增 显示 11模式时钟作用 11模式 时钟作用 全部显示 全部显示1(米字管上的17个数码管全亮) 米字管显示字母的原理是将

您可能关注的文档

文档评论(0)

love87421 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档