1. 1、本文档共48页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
16_adding_ip

Adding Your Own IP to the OPB Bus Objectives After completing this module, you will be able to: Understand basic OPB bus transactions Differentiate between free and evaluation-based IP delivered in EDK Identify the requirements for integrating your IP List the steps involved in importing peripherals when using the wizard Identify the limitations of creating peripherals with the wizard Outline OPB Bus XPS Directory Structure File Creation: MPD, PAO, BBD IP Delivery in EDK Creating/Importing Peripheral Wizard Overview The peripherals are connected to the microprocessor by using the data and address buses Xilinx has implemented IBMs CoreConnect? bus architecture On-chip Peripheral Bus (OPB) version 2.1 of the CoreConnect architecture is designed for easy connection of on-chip peripheral devices Any custom peripheral that connects to the OPB bus must do the following: Meet the principles of the OPB protocol Meet the requirements of the Platform Generator This allows you to take advantage of the simple automated flow that generates the system-level architecture Features Platform Generator supports the following features for OPB peripherals, and it is a subset of the OPB v2.1 features Fully synchronous single-clock edge 32-bit address bus, 32-bit data bus Single-cycle transfer of data between the OPB master and the OPB slave Supports master byte enables Supports slave timeout suppress Supports slave retry No three-state drivers required Note that the dynamic bus sizing feature is not supported in OPB v2.1 Features IBM PowerPC? embedded system Physical Implementation The OPB bus architecture (v2.1) allows for the addition of peripherals to the system, without changing the existing I/O on either the OPB arbiter or the other existing peripherals Interface Signals Global OPB slave signals Slave signals Sln_xferAck Sln_errAck Sln_toutSup Sln_retry Sln_DBus OPB bus signals OPB_select OPB_RNW OPB_BE OPB_seqAddr OPB_Abus OPB_DBus Timing Diagram (Read) The OPB master assert

文档评论(0)

l215322 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档