- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
Modelsim中常用的Tcl命令
Modelsim中常用的Tcl命令:
一.执行tcl
do ./work/run.tcl
二.编译
1.???????vlog
vlog testbench.v
vlog –work work testbench.v
?
三.启动仿真
1.???????vsim
vsim work.testbench
vsim –work work testbench
四.将信号加入波形
add wave *
view wave
五.执行仿真
1.???????run
run 1000
run?? –all? //run to finish
run //?默认run 100ns
六.退出仿真
quit -sim
Tcl模板
###################???? ModelSim TCL???? ########################
################### ?Created by Zhiwei.Liu? ?#######################
?
##### Create the Project/Lib #####
#vlib work
# map the library
#vmap work work
?
##### Compile the verilog #####
vlog testbench.v
?
##### Start Simulation #####
vsim work.testbench
add wave -binary clk rst
add wave -unsigned random c_count
run 990
?
##### Quit the Simulation #####
# quit –sim
?
为方便工程管理,我建了三个文件夹来存放工程。
sim:存放批处理文件,modelsim的do文件和存相对路径的文件
core:存放源代码
data:产生仿真的数据
下面开始写批处理文件与do文件
1:新建文件,改名为sim.bat
???在这个文件里输入代码:vsim -do sim.do
2.新建文件,改名为path.f
???在这个文件中输入要仿真的源代码的相对路径:
?? ../core/test.v
?? ../core/tb.v
2.新建sim.do文件,tcl脚本文件,用于控制modelsim仿真
??输入代码:
??#带#号为注释部分
??#建立工作库并映射工作库
? vlib work
? vmap work work
?
? #编译verilog源代码
? vlog -f path.f
??#编译systemc代码
? vccom -f path.f
? #连接systemc代码
? vccom -link
?
? #生成波形文件wlf
? vsim -wlf test.wlf tb
?
??#添加信号波形
? add wave *
?
??#开始仿真
? run 200 ms
?
??#结束仿真
? quit -sim
操作流程:
直接进入sim文件夹下运行sim.bat文件即可按照上述代码流程控制modelsim。
?
下面就结合实例简要说明操作步骤:1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v2、编写.do文件(camera_tb.do),内容如下:# Create the work libraryvlib workvmap work work
# Compile the verilog filesvlog -work work camera.vvlog -work work camera_tb.v
# Run simulationvsim -lib work camera_tbview waveadd wave sim:/camera_tb/*run 55ms
文档评论(0)