两位十进制计数器VHDL.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电 子 科 技 大 学 实 验 报 告 学生姓名:范仁森 学 号:2011032030016 指导教师:杜涛 学生姓名:李彦龙 学号 :2012032030019 学生姓名:万金山 学号 :2011032030030 一、实验室名称:计算机大楼309 二、实验项目名称:两位十进制计数器在7段数码管显示的设计 三、实验原理: 用FPGA板上的晶振频率为33.86MHz的时钟进行2的25次方分频作为基准频率,然后用两位十进制计数器计数,计数结果输出至七段数码管显示器的数据端。再将时钟进行2的19次方分频作为数码管扫描频率,接到数码管的共阴极端。 其中七段数码管由8个(a,b,c,d,e,f,g,dp)按照一定位置排列的发光二极管构成,通常采取共阴极或者共阳极的设计,将8个二极管的同一极接在一起,通过分别控制另外的8个电极的电平,使二极管导通(发光)或截止(不发光)。?? 实验目的: 掌握七段数码管译码器的工作原理; ?2、掌握设计两位十进制计数器。 学会运用波形仿真测试检验程序的正确性。? 实验内容: 用VHDL设计两位十进制计数器在7段数码管显示的设计,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形,并在FPGA板上测试。 六、实验器材(设备、元器件): 电脑一台,Active-HDL,30MHz FPGA开发板 七、实验步骤: 程序:顶层BDE设计 U1部分:div25:将晶振信号进行2的25次方分频作为计数基准频率 library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.std_logic_unsigned.all; entity div25 is port( clk : in STD_LOGIC; clr : in STD_LOGIC; div_25 : out STD_LOGIC ); end div25; architecture div25 of div25 is signal count:std_logic_vector(24 downto 0);----为分频器设置一个中间信号 begin process(clk,clr) begin if(clr=1)then count=0000000000000000000000000; ----初始化 elsif(clkevent and clk=1)then ----上升延触发 if (count=1111111111111111111111111)then ----将时钟频率分频至接近1Hz count=0000000000000000000000000;---进位 else count=count+1; ---计数 end if ; end if; end process; div_25=count(24);----输出1Hz信号 end div25; U3部分: count ---十进制的个位计数器 library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.std_logic_unsigned.all; entity count is port( clk : in STD_LOGIC; clr : in STD_LOGIC; en : in STD_LOGIC; count_4:out std_logic_vector(3 downto 0); cin:out std_logic ); end count; architecture count of count is signal count_3:std_logic_vector(3 downto 0); ----计数十位数需要4位2进制 begin count_4=count_3; process(clk,clr) begin if(clr=1)then count_3=0000;初始化 cin=0;初始化 elsif(clkevent and clk=1)then-----上升沿触发 if(en=1)then if(count_3=1001)then-----进位 count_3=0000;----进位 cin=1;-----输出进位信号 else count_3=count_3+1;计数 cin=0;重置进位信号 end if;

文档评论(0)

haihang2017 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档