- 1、本文档共12页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
江苏大学数字逻辑课程设计数字时钟 毕业论文
JIANGSU NIVERSITY
课程设计报告
学院:计算机科学与通信工程
班级:网络工程
姓名:
学号:
指导老师:
报告日期?:2016年1月15日
目 录
Ⅰ.设计目的 1
Ⅱ.设计内容 1
Ⅲ.设计原理 1
Ⅳ.具体实现 2
(1)顶层图 2
(2)代码 2
1.24进制: 2
2. 60进制: 3
3.动态显示: 4
4. 分频器: 5
5. 二路选择器: 6
6.整点报时: 7
7.闹钟设置: 8
8.alarmcmp: 9
9.消抖: 9
Ⅴ.心得体会 10
Ⅰ.设计目的
设计一个拥有:正常的时分秒计数功能,实现校时校分清零的功能,利用扬声器实现整点报时和闹钟功能的多功能数字钟。
Ⅱ.设计内容
整个系统分成七个模块进行:计时模块、校时模块、整点报时模块、分频模块、动态扫描模块,动态显示模块、闹钟模块。
l、能进行正常的时、分、秒计时功能,分别由6个数码显示24小时、60分钟的计数器显示。
2、能利用实验系统上的按钮实现“校时”、“校分”功能;
3、能利用扬声器做整点报时:
4、定时闹钟功能
5、用层次化设计方法设计该电路,用硬件描述语言编写各个功能模块。
6、报时功能。报时功能用功能仿真的仿真验证,可通过观察有关波形确认电路设计是否正确。
Ⅲ.设计原理
1 计时模块:使用一个二十四进制和两个六十进制计数器级联,构成数字钟的基本框架。二十四进制用于计时,六十进制用于计分和计秒。给秒计数器一个1hz的时钟脉冲,分计数器以秒计数器的进位作为计数脉冲,时计数器以分计数器的进位作为计数脉冲。
2 校时模块:分别按下校时键和校分键,计数器增至所需时分数,按下清零键,秒计数器归零。
此处注意事项:①按键“抖动”消除。利用触发器,如D触发器,利用D触发器边沿触发的特性,在除去时钟边沿来之前一瞬间之外的绝大部分时间都不能接受输入,从而实现“消抖”。②校分时,分计数器计数不应对小时位产生影响,因而需要屏蔽此时分计数器的进位信号。③需要设计二路选择器对于正常计数以及校时进行选择。
3 整点报时模块:计时到59分50秒,每两秒一次低音报时,整点进行高音报时,将报时信号接到实验板上的扬声器输出。、
4 分频模块:通过不同进制的计数将1khz的时钟脉冲分频出不同频率的时钟脉冲,以实现系统对多频率时钟信号的需求。
5 动态显示模块:利用6个数码管,将时分秒按照高低位输出到数码管上。其中扫描频率超过人眼视觉暂留频率就可以实现六个管同时显示的视觉效果。
6 闹钟模块:需要新的计数器进行定时闹钟信号的存储,与正常计时状态实现切换,设计一个比较模块使闹钟和计时相等时,开始鸣叫,同时需要一个按键能够控制闹钟的开关。
Ⅳ.具体实现
(1)顶层图
图一:顶层图
(2)代码
1.24进制:
时计数器:模块图如图。24进制无进位计数器,当计数信号计到23后再检测到计数信号时会自动归零。带清零,clk输入为分秒进位相与的结果。qh为十位,ql为个位。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt24 is
port(clk:in std_logic;
qh,ql:out std_logic_vector(3 downto 0);
tc:out std_logic);
end cnt24;
architecture one of cnt24 is
begin
process(clk)
variable iql,iqh:std_logic_vector(3 downto 0):=0000;
begin
if clkevent and clk=1 then
iql:=iql+1;
if iql=1010 then
iqh:=iqh+1;
iql:=0000;
end if;
if (iqh=0010)and(iql=0100) then
iqh:=0000;
iql:=0000;
end if;
end if;
ql=iql;
qh=iqh;
end process;
end one;
2. 60进制:
秒计数器模块设计:六十进制带进位计数器,可清零,clk输入信号为1Hz脉冲,当ql计满9后qh增加1,当
您可能关注的文档
- 基于自适应专家权重的群决策系统的设计与实现 毕业设计.doc
- 基于综合概率函数和相关反馈的图像检索 开题报告 毕业论文.doc
- 基于云计算的异常流量检测系统的实现 毕业设计.doc
- 集团新员工军训管理规范文书 毕业设计.doc
- 几种制冷技术机理的研究 毕业设计.doc
- 计量经济学课程用计量方法验证我国私人汽车消费影响因素分析 毕业论文.doc
- 集控运行规程集控运行部分(试行) 毕业设计.doc
- 计量经济学论文中国税收收入的影响因素 毕业设计.doc
- 计算机程序设计实践报告餐饮大众点评c++ 毕业设计.doc
- 计量信息管理网站设计与实现 毕业设计.doc
- CNAS-CL63-2017 司法鉴定-法庭科学机构能力认可准则在声像资料鉴定领域的应用说明.docx
- 12J7-3 河北《内装修-吊顶》.docx
- 12N2 河北省12系列建筑标准设计图集 燃气(油)供热锅炉房工程.docx
- 内蒙古 12S8 排水工程 DBJ03-22-2014.docx
- 山西省 12S10 12系列建筑标准设计 管道支架、吊架.docx
- 16J601-木门窗标准图集.docx
- 12J8 河北省12系列《 楼梯》.docx
- CNAS-GL37 2015 校准和测量能力(CMC)表示指南.docx
- CNAS-RL02-2016 能力验证规则.docx
- 津02SJ601 PVC塑料门窗标准.docx
文档评论(0)