- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
毕业设计(论文)基于eda的fpga的实验开发板的设计与程序调试课程设计报告
专业课程设计报告
课设名称:基于EDA的FPGA实验开发板
的设计
目录:
1、设计任务及内容
2、系统硬件设计(要求对系统每部分电路进行介绍)
3、系统软件设计(画出程序流程图)
4、系统调试
5、课程设计总结
6、参考文献
7、附录(系统硬件原理图以及程序代码)
一:设计任务及内容
设计任务: 基于EDA的FPGA的实验开发板的设计与程序调试。
设计内容: 开发板底板和核心板原理图以及PCB的设计,程序的编写与下载调试。
二:系统硬件设计
核心板部分
电源部分:
通过5V直流供电,经过电容滤波
蜂鸣器部分:
通过三极管驱动蜂鸣器,可以播放一些简单的音乐
PS2键盘:
可以用作一般通讯目的或者嵌入式系统和片上系统调试LCD模块接口,显示点阵为128x64;一种为T6963控制器的LCD模块VGA接口就是显卡上输出模拟信号的接口library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY seg70 IS
PORT (
clk : IN std_logic;
rst : IN std_logic;
dataout : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出
en : OUT std_logic_vector(7 DOWNTO 0)); --COM使能输出
END seg70;
ARCHITECTURE arch OF seg70 IS
signal cnt_scan : std_logic_vector(15 downto 0 );
signal data4 : std_logic_vector(3 downto 0);
signal dataout_xhdl1 : std_logic_vector(7 downto 0);
signal en_xhdl : std_logic_vector(7 downto 0);
begin
dataout=dataout_xhdl1;
en=en_xhdl;
process(clk,rst)
begin
if(rst=0)then
cnt_scan=0000000000000000;
elsif(clkevent and clk=1)then
cnt_scan=cnt_scan+1;
end if;
end process;
process(cnt_scan(15 downto 13))
begin
case cnt_scan(15 downto 13) is
when000= en_xhdl
when001= en_xhdl
when010= en_xhdl
when011= en_xhdl
when100= en_xhdl
when101= en_xhdl
when110= en_xhdl
when111= en_xhdl
when others= en_xhdl
end case;
end process;
process(en_xhdl)
begin
case en_xhdl is
when data4=0000;
when data4=0001;
when data4=0010;
when data4=0011;
when data4=0100;
when data4=0101;
when data4=0110;
when data4=0111;
when others = data4=
您可能关注的文档
- 毕业设计(论文)化学计算高考真题分析.doc
- 毕业设计(论文)淮阴市水资源利用课程设计报告.doc
- 毕业设计(论文)环城东路道路排水改造工程施组设计论文投标.doc
- 毕业设计(论文)环保砖l厂年产3500块煤矸石多孔砖项目环境评价报告.doc
- 毕业设计(论文)换热器出口温度比值控制系统设计课程设计说明书.doc
- 毕业设计(论文)黄河大桥至柳桥转盘段桥涵路面改建工程施工组织设计.doc
- 毕业设计(论文)黄浒河堤防加固工程施工方案.doc
- 毕业设计(论文)黄金矿充填系统设计论文说明书.doc
- 毕业设计(论文)黄茆镇波勒山顺利采石场石灰岩矿资源储量核实地质报告.doc
- 毕业设计(论文)黄山区毛坦水电站引水工程拦河坝施工组织设计.doc
- 毕业设计(论文)基于fpga的等精度频率计设计论文.doc
- 毕业设计(论文)基于fpga的多功能波形发生器设计.doc
- 毕业设计(论文)基于freescalejl3芯片的模拟路灯控制系统报告.doc
- 毕业设计(论文)基于fpga的i2c接口程序实现课程设计.doc
- 毕业设计(论文)基于jsp的家政服务管理系统课程设计报告.doc
- 毕业设计(论文)基于java的动物换位游戏软件设计.doc
- 毕业设计(论文)基于gsm短信模块的防盗报警监控系统终端软件设计.doc
- 毕业设计(论文)基于lms算法的多麦克风降噪设计论文任务书.doc
- 毕业设计(论文)基于matlabsimulink的2fsk系统的仿真课程设计报告.doc
- 毕业设计(论文)基于matlabsimulink的模拟通信系统的仿真论文课程设计.doc
最近下载
- 初三语文下册《儒林外史》名著阅读知识梳理+中考真题演练.docx VIP
- 个人债务两清协议结清证明范本.docx VIP
- 大班幼儿参与幼儿园物质环境创设的现状及提升策略.docx VIP
- 2025广西盐业集团玉林盐业有限公司招聘笔试备考题库及一套参考答案详解.docx VIP
- 民用航空器系统/部件通用代码-中国民航维修网.PDF
- 浅谈地方媒体的发展与中老年人的关系.docx VIP
- 2025年部编版二年级道德与法治上册全册教案.pdf VIP
- 学习课件进路联锁与联锁表的编制方法表.ppt VIP
- 附着式升降脚手架施工方案范本.pdf VIP
- 小学四年级英语阅读理解30篇及小学四年级英语作文.doc VIP
文档评论(0)