第13讲基于FPGA的DSP开发技术-电气与信息工程学院-湖南文理学院.ppt

第13讲基于FPGA的DSP开发技术-电气与信息工程学院-湖南文理学院.ppt

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第13讲基于FPGA的DSP开发技术-电气与信息工程学院-湖南文理学院.ppt

《 E D A 技 术》 课 程 教 学 讲授:伍宗富 第 十三 讲 基于FPGA的DSP开发技术 教学目的:使学生会用Quartus II和DSP Builder软件设计 DSP应用模块的开发方法。 教学重点:通过实例讲解DSP应用模块的开发方法。 教学难点:DSP应用模块的设计步骤。 教学方法:讲授法、计算机辅助法。 课时计划:2学时 使用教材: SOPC技术与应用.江国强 编著.北京:机械工业出版社 主要参考文献: [1] 刘洪涛.ARM嵌入式体系结构与接口技术[M].北京:人民邮电出版社 [2] 田耘等.无线通信FPGA设计[M].北京:电子工业出版社 [3] 孟宪元等.FPGA嵌入式系统设计教程[M].北京:电子工业出版社 [4] 徐光辉等.基于FPGA的嵌入式开发与应用[M].北京:电子工业出版社 [5] 沈文斌.嵌入式硬件系统设计与开发实例详解[M].北京:电子工业出版社 [6] 周立功等.SOPC嵌入式系统基础教程[M].北京:北京航空航天大学出版社 [7] 王彦等.基于FPGA的工程设计与应用[M].西安:西安电子工业出版社 [8] 周润景等.基于QuartusII的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社 [9] 一、Matlab/DSP Builder的DSP模块设计 1. DSP Builder及其设计流程 一、Matlab/DSP Builder的DSP模块设计 2.基于FPGA的DSP系统的系统结构可重配置方法 一、Matlab/DSP Builder的DSP模块设计 3. DSP应用模块设计示例____正弦信号调制电路 一、Matlab/DSP Builder的DSP模块设计 3. DSP应用模块设计示例____正弦信号调制电路 一、Matlab/DSP Builder的DSP模块设计 3. DSP应用模块设计示例____正弦信号调制电路 基于硬件描述语言的数字系统设计步骤 二、基于Quartus II的DSP模块调试 1. 对DSP Builder 生成的工程文件进行编译 二、基于Quartus II的DSP模块调试 2. 使用Quartus II实现时序仿真 二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 二、基于Quartus II的DSP模块调试 示例:正弦信号调制电路应用(输出接DAC0832) 三、DSP Builder的层次设计 DSP Builder的层次设计是利用DSP Builder软件工具,将设计好的DSP模型生成子系统(SubSystem),这个子系统是单个元件,可以独立工作,也可以与其他模块或子系统构成更大的设计模型,还可以作为基层模块,被任意复制到其他设计模型中。 命令:“Create subsystem” 课堂小结 课外作业: 试设计一个可控正弦信号发生器。 * 电子设计自动化技术 讲授:伍宗富 * 电子设计自动化技术 讲授:伍宗富 * 湖南文理学院电气与信息工程学院 课题:基于FPGA的DSP开发技术 一、Matlab/DSP Builder的DSP模块设计 二、 基于Quartus II的DSP模块调试 四、课堂小结 五、作业 三、 DSP Builder的层次设计 DSP Builder是一个系统级(或算法级)设计工具,依赖于MathWorks公司的数学分析工具Matlab/Simulink,在Simulink中进行图形化设计和仿真,通过SignalCompiler可以把Matlab/Simulink的设计文件(.mdl)转成相应的硬件描述语言VHDL设计文件(.vhd),再由FPGA/CPLD开发工具Quartus II来完成。 DSP Builder设计流程如下: 第一步在Matlab/Simulink中进行设计输入,即在Matlab的Simulink环境中建立一个mdl模型文件,用图形方式调用Altera DSP Builder和其它Simulink库中的图形模块(Block),构成系统级或算法级设计框图(或称Si

文档评论(0)

youbika + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档