第6章 集成电路的逻辑设计与可编程逻辑器件.ppt

第6章 集成电路的逻辑设计与可编程逻辑器件.ppt

  1. 1、本文档共179页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第6章 集成电路的逻辑设计与可编程逻辑器件

第6章 集成电路的 逻辑设计与可编程逻辑器件 6.1 常用中规模通用集成电路 6.2 半导体存储器 6.3 可编程逻辑器件 集成电路 小规模集成电路(SSI):器件(门电路、触发器等)的集成 中规模集成电路(MSI):逻辑部件(计数器、寄存器等)的集成 大规模集成电路(LSI):整个数字系统或其子系统的集成 超大规模集成电路(VLSI):整个数字系统或其子系统的集成 数字电路的设计方法 基于SSI的经典设计方法 采用中、大规模集成电路进行逻辑设计的方法 中、大规模集成电路 非用户定制集成电路:通用集成电路或通用片 用户定制集成电路:专用集成电路ASIC 6.1.1 二进制并行加法器 6.1.2 译码器和编码器 6.1.3 多路选择器和多路分配器 6.1.4 数值比较器 6.1.5 奇偶发生/校验器 6.1 常用中规模通用集成电路 6.1.1 二进制并行加法器 二进制加法器 实现多位二进制数加法运算的电路 串行二进制加法器、并行二进制加法器 并行二进制加法器 串行进位二进制并行加法器、超前进位二进制并行加法器 超前进位原理 全加器“进位”输出表达式 Ci=AiBi+(Ai⊕Bi)Ci-1 Gi= AiBi —进位生成函数;Pi= Ai⊕Bi —进位传递函数 代入到全加器的“和”及“进位”输出表达式 Si =Ai ⊕Bi ⊕Ci-1=Pi⊕Ci-1 Ci =Gi +PiCi-1 可见,各“进位”输出仅取决于P i ,G i和C0,由于P i和G i仅取决于A i和B i ,而A i ,B i和C0能同时提供,这就使得各位的进位能同时产生 74LS283就是这种中规模4位超前进位二进制并行加法器 P91 超前进位4位二进制并行加法器的逻辑电路图 4位超前进位二进制并行加法器的VHDL描述 LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY ripple_carry_adder IS PORT(in1,in2:IN std_logic_vector(3 DOWNTO 0); --加数、被加数输入 sum:OUT std_logic_vector(3 DOWNTO 0); --和数输出 carry_in:IN std_logic; --进位输入 carry_out:OUT std_logic); --进位输出 END ripple_carry_adder; ARCHITECTURE arc_dataflow OF ripple_carry_adder IS SIGNAL g,p,c:std_logic_vector(3 DOWNTO 0); --中间信号 BEGIN g(0)=in1(0) AND in2(0); --Gi=AiBi g(1)=in1(1) AND in2(1); g(2)=in1(2) AND in2(2); g(3)=in1(3) AND in2(3); 4位超前进位二进制并行加法器的VHDL描述 p(0)=in1(0) XOR in2(0); --Pi=Ai⊕Bi p(1)=in1(1) XOR in2(1); p(2)=in1(2) XOR in2(2); p(3)=in1(3) XOR in2(3); c(0)=g(0) OR (p(0) AND carry_in); --先行进位 c(1)=g(1) OR (p(1) AND g(0)) OR (p(1) AND p(0) AND carry_in); c(2)=g(2) OR (p(2) AND g(1)) OR (p(2)AND p(1) AND g(0)) OR (p(2) AND p(1) AND p(0) AND carry_in); c(3)=g(3) OR (p(3)AND g(2)) OR (p(3) AND p(2) AND g(1)) OR (p(3) AND p(2) AND p(1) AND g(0)) OR (p(3) AND p(2) AND p(1) AND p(0) AND carry_in); carry_out=c(3); --进位输出 sum(0)=p(0) XOR carry_in;

文档评论(0)

shuwkb + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档