eda数字逻辑洗衣机控制器.docx

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
eda数字逻辑洗衣机控制器课案

湖南涉外经济学院课程设计报告课程名称:EDA技术与应用报告题目:洗衣机控制器的设计学生姓名:所在学院:专业班级:学生学号:指导教师:2016年12月28日课程设计任务书报告题目洗衣机控制器的设计完成时间学生姓名专业班级指导教师职称教授总体设计要求和技术要点设计一个洗衣机控制器,要求如下:1.设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动?正转20秒?暂停10秒?反转20秒?暂停10秒?定时未到回到“正转20秒?暂停10秒?……”,定时到则停止,同时发出提示音。2.若定时到,则停机发出声音信号3.用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4.三只LED灯表示“正转”、“反转”、“暂停”三个状态。工作内容及时间进度安排第15周:周1---周3 :立题、论证方案设计周4---周5 :预答辩第16周:周1---周3 :仿真实验7周4---周5 :验收答辩课程设计成果1.与设计内容对应的软件程序2.课程设计总结报告摘要洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。目录一、概述…………………………………………………………………………5二、程序框图………………………………………………………………5三、程序模块设计…………………………………………………………61.减法计数器模块……………………………………………………………62.数码管显示模块……………………………………………………………63.时序电路模块………………………………………………………………64.译码器模块…………………………………………………………………65.声音模块…………………………………………………6四、VHDL源程序………………………………………………………………6五、仿真波形图…………………………………………………………10六、 结论与心得……………………………………………………………………11七、 参考文献………………………………………………………………………11一、概述洗衣机的逻辑结构主要由优先计时模块、报警模块及数码管显示模块组成。洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。二、程序框图定时到停止暂停反转暂停正转定时启动定时未到三、程序模块设计1、减法计数器模块:由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。当出现系统运行结束信号time_over时,蜂鸣器报警洗衣机工作结束。2、数码管显示模块:根据课程设计要求,必须将洗衣机的工作状态及工作时间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。a,b,c,d,e,f,g分别对应数码管的七段,minute和second分别位选两个数码管,显示十位和个位。3、时序电路模块:接收运行起止信号,安排电机运行状态并编码输出4、译码器模块:接收电机运行状态信号,译码后实时控制电机的正传、反转和暂停。5、声音模块:当洗涤时间结束时,洗衣机就会发出警笛声,以此提醒用户洗涤结束。四、VHDL源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity xiyiji isport(clk,clk1,minter,start,rst:in std_logic; M :out std_logic_vector(2 downto 0); BEEP :out std_logic; SL1: out std_logic; LED :out std_logic_vector(6 d

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档