可编程逻辑实验报告.doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
西安邮电大学可编程逻辑实验报告 系 别 电院 学 号 成 绩 班 级 光电1104班 姓 名 教师签字 实验名称 用原理图输入法设计门电路 一:实验目的 掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+PLUSⅡ操作。 学会利用软件方针和硬件实现对数字电路的逻辑功能进行验证和分析。 能够利用CPLD器件开发具有基本与非逻辑功能的数字电路。 二:实验所用仪表及主要器材 PC, EDA软件MAX+PLUSⅡ。 三:实验原理简述(原程序、真值表、原理图)及实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析) 实验一:用原理图输入法实现F=和F=AB+CD逻辑电路,要求原理图输入、 编译并仿真。 (1)F= A B F 0 0 1 0 1 1 1 0 1 1 1 0 真值表 仿真结果图 F=AB+CD 真值表 A B C D F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 仿真结果图 西安邮电大学可编程逻辑实验报告 系 别 电院 学 号 成 绩 班 级 光电1104班 姓 名 雷晓丹 教师签字 实验名称 用文本输入法设计门电路 一:实验目的 1.进一步熟悉MAX+plus II软件,学习用文本输入法设计电路。 2.进一步熟悉 CPLD 数字电路设计流程。 3.学习初步的 VHDL 程序设计方法。 二:实验所用仪表及主要器材 PC,可编程逻辑电路板,下载线,USB电源线,双踪示波器,数字万用表,导线若干三:实验原理简述(原程序、真值表、原理图)及实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析) 实验二:用VHDL实现或非门、同或门。 或非门F= 真值表 A B F 0 0 1 0 1 0 1 0 0 1 1 0 原程序 library ieee; use ieee.std_logic_1164.all; entity nor2 is port(a,b:in std_logic; y:out std_logic ); end; architecture rel_1 of nor2 is begin y=a nor b ; end; 仿真结果图 同或门F= 真值表 A B F 0 0 1 0 1 0 1 0 0 1 1 1 原程序 library ieee; use ieee.std_logic_1164.all; entity xnor2 is port(a,b:in std_logic; y:out std_logic ); end; architecture rel_1 of xnor2 is signal h:std_logic; begin h=a xor b ; y=not h; end; 仿真结果图 实验三:(1)多数表决电路设计:当输入A、B、C、D有3个或3个以上为1时输出F为1;输入为其他状态时输出为0。 真值表 A B C D F 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0

文档评论(0)

shaoye348 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档