quartusⅱ软件入门(好).pptVIP

  1. 1、本文档共48页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
quartusⅱ软件入门(好)

分立元件设计的时钟电路图 分立元件设计的时钟电路实物 分立元件设计的时钟电路实物 PLD设计的时钟电路原理图 PLD设计的时钟电路实物 选择菜单File-Save As命令,将已设计好的原理图文件取名并存盘在已为此项目建立的文件夹内。 QuartusⅡ设计流程 设计半加器 QuartusⅡ设计流程 将设计项目设置成可调用的元件 QuartusⅡ设计流程 设计全加器顶层文件 3.设计编译 ①编译设置:利用Quartus II提供的编译设置指南可以帮助我们很容易的进行一个项目的编译设置。在主菜单中选择Assignments/Compiler Settings Wizard选项,将弹出一个对话框,要求输入指定的编译实体模块和设定名字。 ②编译设置好后,在主菜单中选择Processing/Start Compilation 对所设置的项目进行编译。 ③阅读编译报告:编译后自动生成的编译报告如图所示,它包含了怎样将一个设计放到一个器件中的所有信息。有器件使用统计,编译设置情况,底层显示,器件资源利用率,状态机的实现,方程式,延时分析结果,CPU使用资源。 QuartusⅡ设计流程 QuartusⅡ设计流程 QuartusⅡ设计流程 编译报告: 4.设计仿真 QuartusII支持多种仿真输入方法,它支持波形方式输入,如:向量波形文件(.vwf)、向量文件(.vec)、列表文件(.tbl),也支持Testbench如:Tcl/TK脚本文件,同时也支持第三方的仿真工具的Verilog/VHDL Testbench。 ①QuartusII仿真设置:利用Quartus II提供的仿真设置指南可以帮助我们快速进行一个项目的仿真设置。在主菜单中选择Assignments/Simulator Settings Wizard选项,在弹出的对话框中,输入指定的仿真实体模块和设定名字。 QuartusⅡ设计流程 ②建立仿真波形文件:在主菜单中选择File/New选项,在弹出的New对话框中选择Other Files选项中的Vector Waveform File。 ③设置仿真参数:设置仿真时间区域。对于时序仿真来说,将仿真时间轴设置在一个合理的时间区域上十分重要。通常设置的时间范围在数十微秒间: QuartusⅡ设计流程 ④将工程端口信号节点选入波形编辑器中。 QuartusⅡ设计流程 ⑤编辑输入波形(输入激励信号)。 QuartusⅡ设计流程 ⑥存盘,并启动仿真 QuartusⅡ设计流程 ⑦观察仿真波形 QuartusⅡ设计流程 5、设计下载: ①打开编程窗和配置文件。首先将实验系统和并口通信线连接好,打开电源。在菜单Tool中选择Programmer,于是弹出如图所示的编程窗。在Mode栏中有4种编程模式可以选择:JTAG, Passive Serial, Active Serial和In-Socket。为了直接对FPGA进行配置,在编程窗的编程模式Mode中选JTAG(默认),并选中打勾下载文件右侧的第一小方框。注意要仔细核对下载文件路径与文件名。如果此文件没有出现或有错,单击左侧“Add File”按钮,手动选择配置文件f_adder.sof。 QuartusⅡ设计流程 设置引脚:为了能对此全加器进行硬件测试,应将其输入输出信号锁定在芯片确定的引脚上,编译后下载。 选择Tools菜单中的Assignments项,即进入如图所示的Assignment Editor编辑器窗。在Category栏中选择Pin,或直接单击右上侧的Pin按钮。 QuartusⅡ设计流程 双击To栏的new,在出现的如图所示的下拉栏中分别选择本工程要锁定的端口信号名;然后双击对应的Location栏的new,在出现的下拉栏中选择对应端口信号名的器件引脚号,如对应ain,选择8脚。 QuartusⅡ设计流程 5、设计下载: ②设置编程器。若是初次安装的QuartusⅡ,在编程前必须进行编程器选择操作。这里准备选择ByteBlaster MV[LPT1]。单击Hardware Setup按钮可设置下载接口方式,在弹出的Hardware Setup对话框中,选择Hardware settings页,再双击此页中的选项BytcBlaster之后,单击Close按钮,关闭对话框即可。这时应该在编程窗右上显示出编程方式:ytcBlaster [LPT 1]。如果打开下所示的窗口内“Currently selected”右侧显示No Hardware,则必须加入下载方式。即点击Add Hardware钮,在弹出的窗中点击OK,再在窗口中双击BytcBlaster,使“Currently selected”右侧显示BytcBl

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档