Verilog 硬件描述语言实例2.pdf

  1. 1、本文档共61页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Verilog 硬件描述语言实例2

10.6 D触发器 1. Verilog 语言描述 //例10.6.1 module d_ff (q, d, clk); output q; input d, clk; reg q; always @(posedge clk) q=d; endmodule 2. 程序说明 上升沿触发指变量值从0变为1、0变为x和z 、或者从x ,z变为1,用posedge表示。 下降沿触发指变量值从1变为0、1变为x和z或者从x , z变为0,用negedge表示。 上升沿和下降沿检测语句功能表 From\to 0 1 X Z 0 no posedge posedge posedge 1 negedge No negedge negedge X negedge posedge no No Z negedge posedge no No 3 .仿真结果 例10.6.1的仿真电路图: 例10.6.1的仿真波形图: Verilog语言有两种赋值方式:连续赋值assign和过程赋 值。过程赋值用来更新寄存器类型变量的值,过程赋值包括 阻塞赋值“=” 和非阻塞赋值“=” 两种。 阻塞赋值: 阻塞赋值“=” :这种赋值方式 //例10.6.2 是立即执行。也就是说执行 module d_ff (q1,q2, d, clk); 下一条语句时,q1已等于d。 output q1,q2; 在clk时钟的上升沿,q1=d和 input d, clk; q2=q1两条语句是先后执行的, 最后结果相当于q1 =d , reg q1,q2; n+1 n q2 =q =d 。 always @(posedge clk) n+1 n+1 n begin q1=d; q2= q1; end endmodule 非阻塞赋值: 非阻塞过程赋值语句不 //例10.6.3 会阻塞进程,直到整个 块的操作执行完才一次 module d_ff (q1,q2, d, clk); 完成赋值操作。用于几 output q1,q2; 个寄存器需要同一时刻 赋值的情况。 input d, clk; q1 =d , reg q1,q2;

文档评论(0)

ranfand + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档